NOEL
 

 


本研究開發之矽基材上之深溝槽狀薄層金屬結構,可用以偵測通訊波段紅外光

(此成果發表於2014年的Nature Communications2019Materials Horizons)




奈米金屬團簇用於光收成及場發射元件的研究被Energy & Environmental Science期刊選為當期之封面
(右)微奈米完美吸收體研究
發表於Nano energy

 



(左)超疏水濃縮效應,於玫瑰花花瓣上濃縮聚集銀奈米粒子。
(右)
Nature Nanotechnology選為 2015  research highlights:  A rose for Raman

 

 

 

(左)奈米感測器研究被選為期刊封面。

(右)表面電漿成功地擷取原本損失於金屬電極上之大量能源,發表於Energy & Environmental Science

 

 


() 有關奈米碳管光學性質之研究被選為Nature Asia Material Highlight     

  (右)石墨烯/氧化金光偵測器可適用於 picowatt 強度的弱光偵測發表於ACS Nano

 

 


利用漸變折射率奈米粒子大幅增加(a)太陽能電池廣角度光收成效率(b)固態照明元件光取出效率的研究刊登於Advanced Functional Materials期刊,同時被Nanowerk Spotlight網站置於首頁報導:Stacked nanoparticle layers shine new light on optical thin films

 



Journal papers (SCI/ EI)

    1.    C. C. Lai, T. C. Hsiao, W. H. Wang, S. W. Chang, and H. L. Chen*, “Emissivity and Optical Properties of Thin-film Metallic Glass in the Thermal Infrared Region,” Advanced Optical Materials, (2023) accepted.

    2.  S. W. Chang, Y. J. Chen, Dehui Wan, H. L. Chen* “Near-Room-Temperature Waste Heat Recovery Through Radiative Cooling for Both Daytime and Nighttime Power Generation”, Journal of Materials Chemistry A, (2023), 11, 15183-15195 (highlighted on the Journal Back Cover).

    3.   S. H. Tsao, A. Y. Sun, Y. C. Lee, C.-W. Hwang, K.-T. Lin, Y. S. Lai, L. C. Yang, H. L. Chen*, Dehui Wan* “Solution-Processable Three-Dimensional Metamaterials with Ultrahigh Broadband Absorption for Photothermal Electronic Conversion”, Laser & Photonics Reviews, (2023), 17, 2300315.

    4.   Y. H. Chen, C. C. Chen, L. C. Lu, C. Y. Lan, H. L. Chen*, T.-H. Yen*, Dehui Wan* “Wafer-scale Fibrous SERS Substrates Allow Label-Free, Portable Detection of Food Adulteration and Diagnosis of Pesticide Poisoning”, Sensors and Actuators B: Chemical, (2023), 391, 134035.

    5.  Y. H. Chen, C. W. Hwang, S. W. Chang, M. T. Tsai, K. N. Jayakumaran, L. C. Yang, Y. C. Lo, F. H. Ko, H. C. Wang, H. L. Chen,* Dehui Wan* “Eco-Friendly Transparent Silk Fibroin Radiative Cooling Film for Thermal Management of Optoelectronics”, Advanced Functional Materials, (2023), 33, 2301924 (highlighted on the Journal Inside Back Cover).

    6.   H. Y. Lin, W. R. Chen, L. C. Lu, H. L. Chen, Y. H. Chen, M. Pan, C. C. Chen, C. Chen*, T. H. Yen*, Dehui Wan* “Direct Thermal Growth of Gold Nanopearls on 3D Interweaved Hydrophobic Fibers as Ultrasensitive Portable SERS Substrates for Clinical Applications”, Small, (2023), 19, 2207404 (highlighted on the Journal Frontispiece).

    7.   M. T. Tsai, S. W. Chang, Y. J. Chen, H. L. Chen, P. H. Lan, D. C. Chen, F. H. Ko, Y. C. Lo, H. C. Wang, Dehui Wan* “Scalable, Flame-resistant, Superhydrophobic Ceramic Metafibers for Sustainable All-day Radiative Cooling”, Nano Today, (2023), 48, 101745.

    8.  Y. C. Lee, S. W. Chang, S. H. Chen, S. L. Chen, and H. L. Chen*, “Optical inspection of two-dimensional materials: From mechanical exfoliation to wafer-scale growth and beyond,” Advanced Science, (2022), 9, 2102128

    9.  Y. L. Chang, I. C. Lai, L. C. Lu, S. W. Chang, A. Y. Sun, D. Wan*, and H. L. Chen* “Wafer-scale nanocracks enable single-molecule detection and on-site analysis,” Biosensors and Bioelectronics, 200, (2022) 113920

    10.      Y. C. Tseng, S. W. Chang, Y. C. Lee, and H. L. Chen*, “Cavity-enhanced magnetic dipole resonance induced hot luminescence from hundred-nanometer-sized silicon spheres,” Nanophotonics, (2022) 11(16): 3583–3593

    11.      S. L. Chen, S. W Chang, Y. J. Chen, and H. L. Chen*, “Possible warming effect of fine particulate matter in the atmosphere,” Communications Earth and Environment, 2, 208 (2021)

    12.      C. C. Lin, B. J. Chang, S. H. Chen, K. T. Lin, S. W. Chang, W. Y. Chen, B. Y Chen, M. C. Liu, and H. L. Chen*, “Gallium arsenide–based active antennas for optical communication photodetection with robustness to voltage and temperature,” Advanced Optical Materials, 9,  2100165 (1-12) (2021) DOI: 10.1002/adom.202100165

    13.      S. L. Chen, C. C. Yu, S. W. Chang, Y. C. Lee, and H. L. Chen*, “Optimization and simulation of a carbon nanotube arrangement for transparent conductive electrodes with record-high direct current to optical conductive ratios,” Optical Materials Express, Vol. 11, No. 4 / 1205-1217 (2021)

    14.      A. Y. Sun, Y. C. Lee, S. W. Chang, S. L. Chen, H. C. Wang, Dehui Wan*, and H. L. Chen, “Diverse Substrate-Mediated Local Electric Field Enhancement of Metal Nanoparticles for Nanogap Enhanced Raman Scattering,” Analytical Chemistry, 93, 4299-4307 (2021)

    15.      T. Y Lin, K. T. Lin, C. C. Lin, Y. W. Lee, L. T. Shiu, W. Y. Chen and H. L. Chen*, “Magnetic fields affect hot electrons in silicon-based photodetectors at telecommunication wavelengths,” Materials Horizons, 6, 1156-1168 (2019) (Inside Back Cover期刊封面)

    16.      S. J. Kuo, S. W. Chang, Y. Y. Hui, O. Y. Chen, Y. W Chen, C. C. Lin, Dehui Wan, H. L. Chen*, and H. C. Chang*, “Fluorescent Microdiamonds Conjugated with Hollow Gold Nanoparticles as Photothermal Fiducial Markers in Tissue,” Journal of Materials Chemistry C, 7, 15197-15207 (2019)

    17.      K. T. Lin, C. J. Chan, Y. S. Lai*, L. T. Shiu, and H. L. Chen*,Silicon-Based Embedded Trenches of Active Antennas for High-Responsivity Omnidirectional Photodetection at Telecommunication Wavelengths”, ACS Applied Materials & Interfaces, Volume 11, Issue 3, 3150–3159, (2019) (Supplementary Cover期刊封面)

    18.      C-K Ku, P-H Wu, C-C Chung, C -C Chen, K-J Tsai, H-M Chen, Y-C Chang, C-H Chuang, C-Y Wei, C-Y Wen, T-Y Lin, H. L. Chen, Z-Y Lee, J-R Chang, Y-S Wang, D-Y Wang, Bing Joe Hwang, Chun-Wei Chen, “Creation of three-dimensional textured graphene/Si Schottky junction photocathode for enhanced photoelectrochemical efficiency and stability”,  Advanced Energy Materials, 1901022, (2019) (Back Cover期刊封面)

    19.      T. Y. Lin, Y. C. Lee, Y. W. Lee, S. W. Chang, D. L. Ma, B. C. Lin, H. L. Chen*, “Air Gap-Based Cavities Dramatically Enhance the True Intrinsic Spectral Signals of Suspended and Pristine Two-Dimensional Materials,” The Journal of Physical Chemistry C, 123 (9), 5667-5679 (2019)

    20.      Y. C. Tseng, T. Y Lin, Y. C. Lee, C. K. Ku, C. W Chen, and H. L. Chen*, “Magnetic dipole resonance and coupling effects directly enhance the Raman signals of as-grown graphene on copper foil by over a hundredfold,” Chemistry of Materials, 30, 1472-1483 (2018)

    21.       S. J. Kuo, P. C. Tsai, Y. C. Lee, S. W. Chang, S. Sotoma, C. Y. Fang, H. C. Chang and H. L. Chen*, “Manipulating the distribution of electric field intensity to effectively enhance the spatial and spectral fluorescence intensity of fluorescent nanodiamonds,” Nanoscale, Vol. 10 No. 37 pp. 17576–17584 (2018)    (當期期刊內封面)

    22.      K. T. Lin, H. L. Chen*, Y. S. Lai,* C. C. Yu, Y. C. Lee, P. Y. Su, Y. T. Yen, and B. Y. Chen, “Loading effect–induced broadband perfect absorber based on single-layer structured metal film,” Nano Energy, 37, 61–73 (2017)

    23.      Y. C. Lee, Y. C. Tseng, and H. L. Chen*, “Single Type of Nanocavity Structure Enhances Light Outcouplings from Various Two-Dimensional Materials by over 100-Fold,” ACS Photonics 4, 93−105 (2017)

    24.      Y. C Tseng, Y. C. Lee, S. W. Chang, T. Y. Lin, D. L. Ma, B. C. Lin, and H. L. Chen*, “Enhancing Raman Signals through Electromagnetic Hot Zones Induced by Magnetic Dipole Resonance of Metal-Free Nanoparticles,” Nanotechnology, 28 (2017) 465202.

    25.      C. C. Yu, K. T. Lin, P. Y. Su, E. Y. Wang, Y. T. Yen, and H. L. Chen*, “Short-Range Plasmonic Nanofocusing Within Submicron Regimes Facilitates In Situ Probing and Promoting of Interfacial Reactions,” Nanoscale, (2016) 8, 3647–3659.

    26.      Y. C. Lee, K. T. Lin, H. L. Chen*, “Ultra-broadband and omnidirectional enhanced absorption of graphene in a simple nanocavity structure,” Carbon, 108 (2016) 253-261. (SCI)

    27.      Y. C. Tseng, Y. C. Cheng, Y. C. Lee, D. L. Ma, B. Y. Yu, B. C. Lin, and H. L. Chen*, “Using Visible Laser-Based Raman Spectroscopy to Identify the Surface Polarity of Silicon Carbide,” Journal of Physical Chemistry C, (2016), 120, 18228−18234. (SCI)

    28.      K. T. Lin, H. L. Chen*, Y. S. Lai*, “Filter-free, junctionless structures for color sensing,” Nanoscale, 8, 16936–16946 (2016) (SCI)

    29.      K. T. Lin, H. L. Chen*, Y. S. Lai,* Y. M Chi, and T. W. Chu, “Plasmonics-Based Multifunctional Electrodes for Low-Power Consumption Compact Color-Image Sensors,” ACS Applied Materials & Interfaces, 8, 6718−6726 (2016) (SCI)

    30.      T. Y. Lu, Y. C. Lee, Y. T. Yen, C. C. Yu, and H. L. Chen*, “Astronomical liquid mirrors as highly ultrasensitive, broadband operational surface-enhanced Raman scattering-active substrates,” Journal of Colloid and Interface Science, (2016) Volume 466, 15 March, Pages 80-90 (SCI)

    31.      Y. L. Liu, C. C. Yu, K. T. Lin, T. C. Yang, E. Y. Wang, H. L. Chen*, L. C. Chen, and K. H. Chen, “Transparent, Broadband, Flexible, and Bifacial-Operable Photodetectors Containing a Large-Area Graphene-Gold Oxide Heterojunction,” ACS Nano Vol. 9, No. 5, 5093–5103 (2015).

    32.      S. H. Tsao, Dehui Wan, Y. S. Lai, H. M. Chang, C. C. Yu, K. T. Lin, and H. L. Chen, “White Light–Induced Collective Heating of Gold Nanocomposite/B. mori Silk Thin Films with Ultrahigh Broadband Absorbance,” ACS Nano accepted DOI: 10.1021/acsnano.5b04913 (2015)

    33.       Y. C. Lee, H. L. Chen*, C. Y. Lu, H. S. Wu, Y. F. Chou and S. H. Chen, “Using nanoimprint lithography to improve the light extraction efficiency and color rendering of dichromatic white light-emitting diodes,“ Nanoscale, (2015) 7, 16312-16320 (SCI)

    34.      S. Y. Chou, C. C. Yu, Y. T Yen, K. T. Lin, H. L. Chen*, and W. F. Su, “Romantic Story or Raman Scattering? Rose Petals as Eco-friendly, Low-Cost Substrates for Ultrasensitive Surface-Enhanced Raman Scattering,” Analytical Chemistry, 87, 6017−6024 (2015) (SCI)

    35.      C. C. Yu, S. Y. Chou, Y. C. Tseng, S. C. Tseng, Y. T. Yen and H. L. Chen*, “Single-shot laser treatment provides quasi-three dimensional paper-based substrates for SERS with attomolar sensitivity,” Nanoscale, 7, 1667–1677 (2015) (SCI) (當期期刊封面)

    36.      Y. C. Lee, E. Y. Wang, Y. L. Liu, and H. L. Chen*, “Using Metal-less Structures To Enhance the Raman Signals of Graphene by 100-fold while Maintaining the Band-to-Band Ratio and Peak Positions Precisely,” Chemistry of Materials, 27, 876−884 (2015) (SCI)

    37.      P. H. Ho, W. C. Lee, Y. T. Liou, Y. P. Chiu, Y. S. Shih, C. C. Chen, P. Y. Su, M. K. Li, H. L. Chen, C. T. Liang, C. W. Chen*, “Sunlight-activated graphene-heterostructure transparent cathodes: enabling high-performance n-graphene/p-Si Schottky junction photovoltaics,” Energy & Environmental Science, 8, 2085--2092 (2015) (SCI)

    38.      C. C. Yu, Y. C. Tseng, P. Y. Su, K. T. Lin, C. C. Shao, S. Y. Chou, Y. T. Yen, H. L. Chen*, “Incident Angle–Tuned, Broadband, Ultrahigh-Sensitivity Plasmonic Antennas Prepared from Nanoparticles on Imprinted Mirrors,” Nanoscale, 7, 3985–3996 (2015) (SCI) (當期期刊內封面)(2015 Hot Papers in Nanoscale

    39.      C. C. Yu, H. L. Chen*, “Nanoimprint technology for patterning functional materials and its applications,” Microelectronic Engineering, 132, 98-119 (2015) (SCI)

    (30 year Anniversary Special Issue, Invited Review article)

    40.      K. T. Lin, H. L. Chen*, Y. S. Lai,* and C. C. Yu, “Silicon–based broadband antenna for high–responsivity and polarization–insensitive photodetection at telecommunication wavelengths,” Nature Communications, 5, 3288, DOI: 10.1038/ncomms4288 (2014) (SCI)

    41.      Y. L. Liu, C. C. Yu, K. T. Lin, E. Y. Wang, T. C. Yang, H. L. Chen*, C. W. Chen, C. K. Chang, L. C. Chen, and K. H. Chen, “Nondestructive Characterization of the Structural Quality and Thickness of Large-Area Graphene on Various Substrates,” Analytical Chemistry 86, 7192-7199 (2014) (SCI) Editors’ Highlight

    42.      Y. L. Liu, C. Y. Fang, C. C. Yu, T. C. Yang, and H. L. Chen*, “Controllable localized surface plasmonic resonance phenomena in reduced gold oxide films,” Chemistry of Materials, 26, 1799−1806 (2014) (SCI)

    43.      K. T. Lin, H. L. Chen*, Y S. Lai*, Y. L. Liu, Y. C. Tseng, and C. H. Lin, “Nanocrystallized CdS beneath the Surface of a Photoconductor for Detection of UV Light with Picowatt Sensitivity,”  ACS Applied Materials & Interfaces, 6, 19866−19875 (2014) (SCI)

    44.      C. C. Yu, K. T. Lin, Y. C. Tseng, S. Y. Chou, C. C. Shao, H. L. Chen* and W. F. Su, “Plasmonic nanoparticle-film calipers for rapid and ultrasensitive dimensional and refractometric detection,” Analyst, 139, 5103-5111 (2014) (SCI)

    45.      Y. T. Yen, T. Y. Lu, Y. C. Lee, C. C. Yu, Y. C. Tsai, Y. C. Tseng, and H. L. Chen*, “Highly reflective liquid mirrors: Exploring the effects of localized surface plasmon resonance and the arrangement of nanoparticles on metal liquid-like films,” ACS Applied Materials & Interfaces, 6, 4292−4300 (2014) (SCI)

    46.      Y. C. Chen, P. Y. Su, S. C. Tseng, Y. C. Lee, and H. L. Chen*, “Preparing wafer-scale omnidirectional broadband light-harvesting nanostructures in a few seconds,” Journal of Materials Chemistry A, 2, 4633–4641 (2014) (SCI)

    47.      Y. L. Liu, C. C. Yu, C. Y. Fang, H. L. Chen*, C. W. Chen, C. C. Kuo, C. K. Chang, L. C. Chen, and K. H. Chen, “Using optical anisotropy as a quality factor to rapidly characterize structural qualities of large-area graphene films,” Analytical Chemistry 85, 1605−1614 (2013) (SCI)

    48.      K. T. Lin, S. C. Tseng, H. L. Chen*, Y. S. Lai,* S. H. Chen, Y. C. Tseng, T. W. Chu, M. Y. Lin and Yen-Pei Lu, “Ultrahigh-sensitivity CdS photoconductor with instant response and ultralow power consumption for detection in low-light environments,” Journal of Materials Chemistry C, (2013) Vol. 1, No. 27, 4244–4251 (SCI) (當期期刊封面)

    49.      C. Y. Fang, Y. L. Liu, Y. C. Lee, H. L. Chen*, D. H. Wan, and C. C. Yu, “Nanoparticle Stacks with Graded Refractive Indices Enhance the Omnidirectional Light Harvesting of Solar Cells and the Light Extraction of Light-Emitting Diodes,” Advanced Functional Materials, (2013) 23, 1412–1421 (SCI) Nanowerk網站首頁報導)

    50.      S. C. Tseng, C. C. Yu, D. C. Lin, Y. C. Tseng, H. L. Chen*, Y. C. Chen, S. Y. Chou, and L. A. Wang, “Laser-induced jets of nanoparticles: Exploiting air drag forces to select the particle size of nanoparticle arrays,” Nanoscale, 5, 2421–2428 (2013) (SCI)

    51.      Y .C. Lee, T. Y. Lu, Y. H. Lai, H. L. Chen*, D. L. Ma*, C. C. Lee and S. C. Cheng, “Simulations of light extraction and light propagation properties of light emitting diodes featuring silicon carbide substrates,” Optical Materials (2013) 35, 1236-1242 (SCI)

    52.      Yu Wang, S. S. Li, Y. C. Yeh, C. C. Yu, H. L. Chen, F. C. Li, Y. M. Chang and Chun-Wei Chen, “Interactions between fluorescence of atomically layered graphene oxide and metallic nanoparticles,” Nanoscale, 5, 1687–1691 (2013) (SCI)

    53.      Y. M. Chi, H. L. Chen*, Y S. Lai*, H. M. Chang, Y. C. Liao, C. C. Cheng, S. H. Chen, S. C. Tseng, and K. T. Lin, “Optimizing Surface Plasmon Resonance Effects on Finger Electrodes to Enhance the Efficiency of Silicon-Based Solar Cells,” Energy & Environmental Science 6, 935–942 (2013) (SCI)

    54.      Srikanth Ravipati, Jiann Shieh, F. H. Ko, C. C. Yu and H. L. Chen, “Ultralow reflection from a-Si nanograss/Si nanofrustum double layers,” Advanced Materials, 25, 1724–1728 (2013) (SCI)

    55.      M. Y. Lin, Y. P. Lu, Y. S. Yang, H. L. Chen, C. H. Yang, A. M. Grumezescu, E. C. Wang, Y. S. Lai, “Alignment of Stretchable Nanoparticle Chains with Tunable Optical Properties Formed from Molecular Machinery,” Current Organic Chemistry, Volume 17, Number 2, January, 144-148(5) (2013) (SCI)

    56.      S. C. Tseng, C. C. Yu, Dehui Wan, H. L. Chen*, L. A. Wang, M. C. Wu, W. F. Su, H. C. Han, and L. C. Chen, “Eco-friendly plasmonic sensors: Using the photothermal effect to prepare metal nanoparticle–containing test papers for highly sensitive colorimetric detection,” Analytical Chemistry (2012) 84 (11), 5140–5145 (SCI)

    57.      C. C. Lin, P. H. Ho, C. L. Huang, C. H. Du, C. C. Yu, H. L. Chen, Y. C. Yeh, S. S. Li, C. K. Lee, C. W. Pao, C. P. Chang, M. W. Chu, and C. W. Chen, “Dependence of Nanocrystal Dimensionality on the Polymer Nanomorphology, Anisotropic Optical Absorption, and Carrier Transport in P3HT:TiO2 Bulk Heterojunctions,” The Journal of Physical Chemistry C (2012) 116 (47), 25081–25088 (SCI)

    58.       S. Ravipati, J. Shieh, F. H. Ko, C. C. Yu, H. L. Chen, C. T. Wu, and S. H. Chen, “Broadband and wide angle antireflection of sub-20 nm GaAs nanograss,” Energy & Environmental Science (2012), 5, 7601-7605 (SCI)

    59.   C. C. Yu, K.-H. Ho, H. L. Chen*, S. Y. Chuang, S C. Tseng, W. F. Su, “Using the nanoimprint-in-metal method to prepare corrugated metal structures for plasmonic biosensors through both surface plasmon resonance and index-matching effects,” Biosensors and Bioelectronics (2012) 33, 267– 273 (SCI)

    60.       H. L. Chen, J. F. Lee, S. C. Tseng, M. H. Lin, and W. B. Liau, “Photosensitized growth of TiO2 nanoparticles improved the charge transfer dynamics of a bichromophoric dye,” Journal of Luminescence, 132 (2012) 2182-2187 (SCI)

    61.  J. F. Lee, H. L. Chen, G. S. Lee, S. C. Tseng, M. H. Lin, and W. B. Liau, Photosensized Controlling Benzyl Methacrylate-based Matrix Enhanced Eu3+ Narrow-band Emission for Metal-ion Chelating Applications,” International Journal of Molecular Sciences, doi:10.3390/ijms130x000x (2012)

    62.       C. W. Hsu, Y. C. Lee, H. L. Chen*, Y. F. Chou, “Optimizing textured structures possessing both optical gradient and diffraction properties to increase the extraction efficiency of light-emitting diodes,” Photonics and Nanostructures - Fundamentals and Applications, (2012) 10(4), 523–533 (SCI)

    63.      S. C. Tseng, H. L. Chen*, C. C. Yu, Y. S. Lai and H. W. Liu, “Using intruded gold nanoclusters as highly active catalysts to fabricate silicon nanostalactite structures exhibiting excellent light trapping and field emission properties,” Energy & Environmental Science (2011), 4, 5020–5027 (SCI) (201112月書背封面)

    64.  D. L. Ma, and H. L. Chen*, “Vapor Phase Growth of Free-Standing Palladium Nanorods,” Journal of Crystal Growth (2011), 335, pp.127-132 (SCI)

    65.   Y. Y. Lee, K. H. Tu, C. C. Yu, S. S. Li, J. Y. Hwang, C. C. Lin, K. H. Chen, L. C. Chen, H. L. Chen, and C. W. Chen, “Top Laminated Graphene Electrode in a Semitransparent Polymer Solar Cell by Simultaneous Thermal Annealing/Releasing Method,” ACS Nano, (2011), Vol. 5, No. 8, pp. 6564–6570 (SCI)

    66.  S. Y. Chuang, C. C. Yu, H. L. Chen*, W. F. Su, and C. W. Chen, “Exploiting optical anisotropy to increase the external quantum efficiency of flexible P3HT:PCBM blend solar cells at large incident angles,” Solar Energy Materials and Solar Cells (2011) 95, pp. 2141-2150 (SCI)

    67.  D. L. Ma, and H. L. Chen*, “Use of simple annealing processes to prepare anisotropic iridium and iridium dioxide nanostructures,” CrystEngComm, (2011) 13, 2779–2784 (SCI)

    68.   C. C. Yu, Y. T. Chen, D. H. Wan, H. L. Chen*, S. L. Ku, and Y. F. Chou, “Using one-step, dual-side nanoimprint lithography to fabricate low-cost, highly flexible wave plates exhibiting broadband antireflection,” Journal of The Electrochemical Society (2011), 158 (6) J195-J199 (SCI)

    69.   C. C. Lin, Y. Y. Lin, S. S. Li, C. C. Yu, C. L. Huang, S. H. Lee, C. H. Du, J. J. Lee, H. L. Chen, C. W. Chen, “Electric Field Assisted Self-Organization of Polymer: Fullerene Hybrids on the Photovoltaic Performance,” Energy & Environmental Science (2011), 4, 2134–2139 (SCI)

    70.  S. C. Tseng, H. L. Chen*, H. W. Liu, C. C. Yu, L. A. Wang, and Y. P. Chen, “A permanent optical storage medium exhibiting ultrahigh contrast, superior stability, and a broad working wavelength regime,” Phys. Chem. Chem. Phys., (2011) 13, 5747–5752 (SCI)

    71.  T. L. Chiu, J. H. Lee, Y. P. Hsiao, C. F. Lin, C. C. Chao, M. K. Leung, D. H. Wan, H. L Chen and H. C. Ho, “Absorptive and conductive cavity cathode with silver nanoparticles for low-reflection organic light-emitting devices” Journal of Physics D: Applied Physics 44 (2011) 095102 (SCI)

    72.  J. F. Lee, Y. C. Chen, J. T. Lin, C. C. Wu, C. Y. Chen, C. A. Dai, C. Y. Chao, H. L. Chen*, W. B. Liau*, “Blue light-emitting and electron transporting materials based on dialkyl-functionlized anthracene imidazophenanthrolines,” Tetrahedron, 67, pp. 1696-1702 (2011) (SCI)

    73.  Dehui Wan, H. L. Chen*, T. C. Tseng, C. Y. Fang, Y. S. Lai, F. Y. Yeh, “Antireflective Nanoparticle Arrays Enhance the Efficiency of Silicon Solar Cells,” Advanced Functional Materials, 20, 3064–3075 (2010) (SCI)

    74.  Y. C. Lee, S. C. Tseng, H. L. Chen*, C. C. Yu, W. L. Cheng, C. H. Du, and C. H. Lin, “Using autocloning effects to develop broadbandwidth, omnidirectional antireflection structures for silicon solar cells,” Optics Express, Vol. 18, No. 103, A421-A431 (2010). (SCI)

    75.   Dehui Wan, H. L. Chen*, Y. T. Lai, C.C. Yu, K. F. Lin, “Using Reversal Nanoimprinting of Nanoparticles to Prepare Flexible Waveguide Sensors Exhibiting Enhanced Scattering of the Surface Plasmon Resonance,” Advanced Functional Materials, 2010, 20, 1742–1749 (2010) (SCI) (20106月封面)

    76.  D. L. Ma, and H. L. Chen*, “Use of simple thermal annealing processes to prepare free-standing platinum rods,” CrystEngComm, 12, 2896–2902 (2010) (SCI)

    77.  W. H. Lee, S. Y. Chuang, H. L. Chen*, W. F. Su, and C. H. Lin, “Exploiting optical properties of P3HT:PCBM films for organic solar cells with semitransparent anode,” Thin Solid Films, 518 7450–7454 (2010) (SCI).

    78.   Dehui Wan, H. L. Chen*, S. C. Tseng, L. A. Wang, Y. P. Chen, “One-Shot Deep-UV–Pulsed Laser-Induced Photomodification of Hollow Metal Nanoparticles for High Density Data Storage on Flexible Substrates,” ACS Nano, Vol. 4, No. 1, 165-173 (2010) (SCI)

    79.  S. Y. Chuang, H. L. Chen*, J. Shieh*, C. H. Lin, C. C. Cheng, H. W. Liu, C. C. Yu, “Nanoscale of biomimetic moth eye structures exhibiting inverse polarization phenomena at the Brewster angle,” Nanoscale, 2, 799–805 (2010) (SCI)

    80.   K. C. Hsieh, T. Y. Tsai, D. H. Wan, H. L. Chen*, and N. H. Tai, “Iridescence of Patterned Carbon Nanotube Forests on Flexible Substrates: From Darkest Materials to Colorful Films,” ACS Nano, Vol. 4, No. 3 pp 1327–1336 (2010) (SCI) (Nature Asia Material Highlight)

    81.  K. C. Hsieh, T. Y. Tsai, D. H. Wan, H. L. Chen*, and N. H. Tai, “Using patterned carbon nanotube films with optical anisotropy to tune the diffracted color from flexible substrates,” Carbon, 48, 1410-1417 (2010) (SCI)

    82.   Jiann Shieh, F. J. Hou, Y. C. Chen, H. M. Chen, S. P. Yang, C. C. Cheng, H. L. Chen, “Robust Airlike Superhydrophobic Surfaces,” Advanced Materials, Vol. 22, Issue 5, 597-601. (2010) (SCI) ISI列入HiCi  paper (高被引用文章)

    83.   S. Y. Chuang, H. L. Chen*, W. H. Lee, Y. C. Huang, W. F. Su, W. M. Jen, C. W. Chen, “Regioregularity effects in the chain orientation and optical anisotropy of composite polymer/fullerene films for high-efficiency, large-area organic solar cells,” Journal of Materials Chemistry, 19, 5554-5560 (2009). (SCI)

    84.   Y. Y. Lin, D. Y. Wang, H. C. Yen, H. L. Chen, C. C. Chen, C. M. Chen, C. Y. Tang and C. W. Chen, “Extended red light harvesting in a poly(3-hexylthiophene)/iron disulfide nanocrystal hybrid solar cell,” Nanotechnology, 20,405207 (2009) (SCI)

    85.   Y. C. Huang, S. Y. Chuang, M. C. Wu, H. L. Chen, C. W. Chen, W. F. Su, “Quantitative Nanoscale Monitoring the Effect of Annealing Process on the Morphology and Optical Properties of P3HT/PCBM Thin Film Used in Photovoltaic Devices,Journal of Applied Physics, 106, 034506 (2009). (SCI)

    86.  Y. C. Chang, H. W. Wu, H. L. Chen, W.Y. Wang, L. J. Chen, “2D Inverse Opal ZnO Nanorod Networks with Photonic Band Gap,” The Journal of Physical Chemistry C, 113, 14778-14782 (2009). (SCI)

    87.   D. L. Ma, and H. L. Chen*, “Use of the carbothermal route to prepare anisotropic single-crystal platinum nanostructures with low resistivity”, Crystal Growth & Design, Vol. 9, No. 5, 2030-2035 (2009). (SCI)

    88.   Dehui Wan, H. L. Chen*, Y. S. Lin, S. Y. Chuang, J. Shieh, S. H. Chen, “Using Spectroscopic Ellipsometry to Characterize and Apply the Optical Constants of Hollow Gold Nanoparticles,” ACS Nano, Vol. 3, No. 4, 960-970 (2009) (SCI)

    89.   T. S. Li, S. H. Chen, H. L. Chen, “Thermal-flow techniques for sub-35 nm contact-hole fabrication using Taguchi method in electron-beam lithography,” Microelectronic Engineering, Vol. 86, 11, 2170-2175 (2009) (SCI)

    90.  H. L. Chen*, S. Y. Chuang, W. H. Lee, S. S. Kuo, W. F. Su, S. L. Ku, and Y. F. Chou, “Extraordinary transmittance in three-dimensional crater, pyramid, and hole-array structures prepared through reversal imprinting of metal films,” Optics Express, Vol. 17, No. 3, 1636-1645 (2009). (SCI)

    91.  H. L. Chen*, W. H. Lee, Wonder Fan, S. Y. Chuang, Y. H. Lai, C. C. Lee, “Reduction of Polarization and Swing Effects in a High Numerical Aperture Exposure System by utilizing Resist Antireflective Coatings,” Microelectronic Engineering, 86, 83-87 (2009) (SCI)

    92.  T. L. Chiu, K.-H. Chuang, C.-F. Lin, Y.-H. Ho, J.-H. Lee, C.-C. Chao, M.-K. Leung, D.-H. Wan, C.-Y. Li, H. L. Chen, “Low reflection and photo-sensitive organic light-emitting device with perylene diimide and double-metal structure,” Thin Solid Films, 517, 3712–3716 (2009) (SCI)

    93.      D. H. Wan, H. L. Chen*, S. Y. Chuang, C. C. Yu, Y. C. Lee, “Using Self-Assembled Nanoparticles to Fabricate and Optimize Subwavelength Textured Structures in Solar Cells,” The Journal of Physical Chemistry C, 112, 20567-20573 (2008) (SCI)

    94.  H. L. Chen*, K. C. Hsieh, C. H. Lin, and S. H. Chen, “Using direct nanoimprinting of ferroelectric films to prepare devices exhibiting bi-directionally tunable surface plasmon resonances,” Nanotechnology, 19, 435304 (2008) (SCI)

    95.  S. Y. Chuang, H. L. Chen*, S. S. Kuo, Y. H. Lai, and C. C. Lee, “Using direct nanoimprinting to study extraordinary transmission in textured metal films,” Optics Express, Vol. 16, No. 4, 2415-2422 (2008). (SCI)

    96.  K. C. Hsieh, H. L. Chen*, D. H. Wan, and J. Shieh, “Active modulation of surface plasmon resonance wavelengths by applying an electric field to gold nanoparticle-embedded ferroelectric films,” The Journal of Physical Chemistry C, 112, 11673 (2008) (SCI)

    97.  T. H. Chen, T. Y. Tsai, K. C. Hsieh, S. C. Chang, N. H. Tai, H. L. Chen, “Two-dimensional metallic nanobowl array transferred onto thermal plastic substrates by microwave heating of carbon nanotubes,” Nanotechnology, 19, 465303 (2008) (SCI)

    98.  T. H. Chang , S. H. Chen, C. C. Lee, H. L. Chen, “Fabrication of autocloned photonic crystals using electron-beam guns with ion-assisted deposition,” Thin Solid Films, 516, pp. 1051–1055 (2008). (SCI)

    99.   W. H. Lee, H. L. Chen*, S. Y. Chuang, T. H. Chen, and W. F. Su, “Using optical thin film model to optimize thermal annealing procedure in P3HT:PCBM blend based solar cells,” Proc. of SPIE Vol. 6999 (2008) (EI)

    100.   D. H. Wan, H. L. Chen*, C. C. Yu, Y. C. Lee, “Using self-assembled nanoparticles to fabricate and optimize sub-wavelength textured structures in solar cells,” Proc. of SPIE Vol. 7002 (2008) (EI)

    101.  H. L. Chen*, S. Y. Chuang, C. H. Lin, and Y. H. Lin, “Using colloidal lithography to fabricate and optimize sub-wavelength pyramidal and honeycomb structures in solar cells,” Optics Express, Vol. 15, No. 22, 14793-14803 (2007). (SCI)

    102.  C. H. Lin, H. L. Chen, and F. H. Ko, “Influence of the mask magnification on imaging in hyper-NA lithography,” J. Opt. Soc. Am. A, Vol. 24, No. 6, 1633-1640 (2007). (SCI)

    103.  H. L. Chen*, K. T. Huang, C. H. Lin, W. Y. Wang, and Wonder Fan, “Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithography,” Microelectronic Engineering, 84, 750-754 (2007). (SCI)

    104.  C. H. Lin, H. L. Chen, and F. H. Ko, “Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask,” Microelectronic Engineering, 84, 711-715 (2007). (SCI)

    105.  H. L. Chen*, Wonder Fan, C. C. Cheng, C. H. Lin, and K. T. Huang, “Fabrication of texturing antireflection structures in solar cells by using the defocusing exposure in optical lithography,” Journal of The Electrochemical Society, 153 (9) G802-G806 (2006). (SCI)

    106.  K. C. Hsieh, H. L. Chen*, C. H. Lin, C. Y. Lee, “Directly patterning ferroelectric films by nanoimprint lithography with low-temperature and low-pressure,” J. Vac. Sci. Technol. B, 24 (6) 3234-3238 (2006). (SCI)

    107.  H. L. Chen*, S. Y. Chuang, H. C. Cheng, C. H. Lin, T. C. Chu, “Directly patterning metal films by nanoimprint lithography with low-temperature and low-pressure,” Microelectronic Engineering 83, 893–896 (2006).  (SCI)

    108.   C. K. Huang, Y. H. Chen, T. B. Wu, H. L. Chen, W. C. Chao, “Fabrication of nanoscale PtOx/PZT/PtOx capacitors by e-beam lithography and plasma etching with photoresist mask,” Electrochemical and Solid State Letter, 9 (3) C51-C53 (2006)  (SCI)

    109.   C. H. Lin, H. L. Chen, C. I. Hsieh, and W. C. Chao, “Optical Characterization of Two-dimensional Photonic Crystals Based on Spectroscopic Ellipsometry with Rigorous Coupled-Wave Analysis,” Microelectronic Engineering, 83, 1798–1804(2006). (SCI)

    110.   H. L. Chen*, H. C. Cheng, T. S. Ko, S. Y. Chuang, and T. C. Chu, “Characterizing Optical Properties of Self-assembled Gold Nanoparticles for Surface Plasmon Resonance Device Applications,” Jpn J. Appl. Phys Vol. 45, No. 9A, pp. 6984–6986, (2006). (SCI)

    111.   H. L. Chen*, Y. C. Lin, K. C. Hsieh, T. H. Hsu, H. C. Cheng, “Continuously tuning photonic bandgap of opal structures by utilizing polymer and silica hybrid colloids,” Journal of Materials Science and Engineering, Vol. 38, No.1, pp. 24~28 (2006)

    112.  K-H Chuang, Y-H Ho, J-H Lee, C-C Chao, M-K Leung, C-Y Li, and H-L Chen, “Using N-Type Organic Material with Photoconductivity for Low Reflectance OLEDs,” Proc. of SPIE Vol. 6333 (2006) (EI)

    113.  H. L. Chen*, Y. H. Chu, C. I. Kuo, F. K. Liu, F. H. Ko, T. C. Chu, “Rapidly Selective Growth of Nanoparticles by Electron-Beam and Optical Lithographies with Chemically Amplified Resists,” Electrochemical and Solid State Letter, 8 (2) pp. 54-56 (2005). (SCI)

    114.   T. J. Wang, Y. H. Huang, and H. L. Chen, “Resonant-wavelength tuning of microring filters by oxygen plasma treatment,” IEEE Photon. Technol. Lett., vol. 17, no. 3, Mar. (2005). (SCI)

    115.   H. L. Chen*, C. Y. Hsieh, C. C. Cheng, C. P. Chang, W. H. Hsu, W. S. Wang, P. T. Liu, “Porous Materials with Ultralow Optical Constants for Integrated Optical Device Applications,” Jpn J. Appl. Phys, 44 (7B): pp. 5673-5676 (2005). (SCI)

    116.   M. C. Yang, Jiann Shieh, T. S. Ko, H. L. Chen, and T. C. Chu, “Fabrication of Silicon and Germanium Nanostructures by Combination of Hydrogen Plasma Dry Etching and VLS Mechanism, Jpn J. Appl. Phys, 44 (7B): pp. 5791-5794 (2005). (SCI/ EI)

    117.   J. Shieh, H. L. Chen, T. S. Ko, H. C. Cheng, and T. C. Chu, “Nanoparticle-assisted growth of porous germanium films”, Advanced Materials Vol. 16, No. 13 pp.1121~1124 (2004). (SCI).

    118.   H. L. Chen*, H. C. Cheng, T. S. Ko, F. H. Ko, and T. C. Chu, “High reflectance of reflective type attenuated-phase-shifting-masks for extreme ultraviolet lithography with high inspection-contrast in deep ultraviolet regimes,” J. Vac. Sci. Technol. B 22 (6) pp.3049~3052 (2004). (SCI).

    119.   H. L. Chen*, Wonder Fan, T. J. Wang, F. H. Ko, R. S. Zhai, C. K. Hsu, and T. J. Chuang, “Optical-Gradient Antireflective Coatings for 157 nm Optical Lithography Applications,” Applied Optics, Vol. 43, 10, pp.2141~2145 (2004). (SCI)

    120.   H. L. Chen*, H. F. Lee, W. C. Chao, C. I. Hsieh, F. H. Ko, and T. C. Chu, “Fabrication of Autocloned Photonic Crystals by Using High-density-plasma Chemical Vapor Deposition,” J. Vac. Sci. Technol. B 22 (6) pp.3359~3362 (2004). (SCI). (Selected by Virtual Journal of Nanoscale Science & Technology Volume 11, Issue 1, 2005).

    121.   J. Shieh, T. S. Ko, H. L. Chen, J. M. Shieh, B. T. Dai, and T. C. Chu, “Low-temperature growth of germanium quantum dots on siliconoxide by inductively coupled plasma chemical vapor deposition”, Chemical Vapor Deposition, 10, pp.265~269 (2004). (SCI).

    122.   Wonder Fan, H. L. Chen*, C. L. Wu, and L. K. S. Chang, “Charactering Optical Constants of Thin Films for Vacuum Ultraviolet Lithography Applications,” Jpn J. Appl. Phys, 43 (6B): pp. 3684-3688 (2004). (SCI)

    123.   H. C. Cheng, H. L. Chen*, T. S. Ko, L. J. Lai, F. H. Ko, and T. C. Chu, “Enhance Extreme Ultraviolet Lithography Mask Inspection Contrast by using Fabry-Perot type Antireflective Coatings,” Jpn J. Appl. Phys, pp. 3703-3706 (2004). (SCI)

    124.   J. K. Chen, F. H. Ko, H. K. Chen, C. T. Chou, H. L. Chen, F. C. Cheng, Fabrication of curved structures with electron-beam and surface structure characterization” J. Vac. Sci. Technol. B, 22 (2) pp. 492~500 (2004). (SCI)

    125.   C. I. Hsieh, H. L. Chen*, W. C. Chao, and F. H. Ko, “Optical Properties of Two-dimensional Photonic-bandgap Crystals Characterized by Spectral Ellipsometry,” Microelectronic Engineering Vol. 73~74, pp. 920~926 (2004). (SCI)

    126.   C. J. Ko, C. Y. Lee, F. H. Ko, H. L. Chen, T. C. Chu, “ Highly efficient microwave-assisted purification of multiwalled carbon nanotubes,” Microelectronic Engineering Vol. 73~74, pp. 570~577 (2004). (SCI)

    127.   T. J. Wang, C. W. Tu, F. K. Liu, and H. L. Chen, “Surface plasmon resonance waveguide biosensor by bi-polarization wavelength interrogation,” IEEE Photon. Technol. Lett., vol. 16, no. 7, (2004) (SCI/ EI)

    128.  F. H. Ko, H. C. You, T. C. Chu, T. F. Lei, C. C. Hsu, H. L. Chen, “Fabrication of sub-60-nm contact holes in silicon dioxide layers,” Microelectronic Engineering Vol. 73~74, pp. 323~329 (2004). (SCI)

    129.  C. W. Hsu, H. L. Chen, W. C. Chao, W.S. Wang, “ Characterization of benzocyclobutene optical waveguides fabricated by electron beam direct writing,” Microwave and Optical Technology Letters Vol. 42, No. 3, pp. 208~210 (2004) (SCI)

    130.  C. C. Chen, C. Y. Tsai, F. H. Ko, C. C. Pun, H. L. Chen, P. H. Chen, “Room temperature operation of a coulomb blockade sensor fabricated by self-assembled gold nanoparticles using deoxyribonucleic acid hybridization,” Jpn J. Appl. Phys, 43 (6B): pp. 3843-3848  (2004). (SCI)

    131.  H. L. Chen*, C. C. Lee, Y. F. Chuang, M. C. Liu, C. I. Hsieh, and F. H. Ko, “Fabry-Perot Type Antireflective Coatings for Binary Mask Applications in ArF and F2 Excimer Laser Lithographies,” Electrochemical and Solid State Letter, 6 (4) G59-G61 (2003). (SCI)

    132.  Chih-Wei Hsu, H. L. Chen, and Way-Seen Wang, “Compact Y-Branch Power Splitter Based on Simplified Coherent Coupling,” IEEE Photonics Technology Letters, Vol. 15, No. 8, pp.1103-1105 (2003) (SCI)

    133.  H. L. Chen*, H. S. Wu, C. C. Lee, F. H. Ko, Wonder Fan, and C. I. Hsieh, “Fabry-Perot Structures for Attenuated Phase-Shifting-Mask applications in ArF and F2 Lithography,” J. Vac. Sci. Technol. B, Vol. 21, No. 6, pp.3057-3061 (2003). (SCI)

    134.  H. L. Chen*, Wonder Fan, Tzyy-Jiann Wang, Fu-Hsiang Ko, and Chung-I Hsieh, “Multilayer Bottom Antireflective Coatings for High Numerical Aperture and Modified Illumination Exposure Systems,” Jpn J. Appl. Phys, Vol. 42 No. 6(B) pp. 3737–3742 (2003). (SCI)

    135.  H. L. Chen*, Wen-Chi Chao, Fu-Hsiang Ko, Tien-Chi Chu, and Hsu-Chun Cheng, “Diluted Low Dielectric Constant Materials as Bottom Antireflective Coating Layers for both KrF and ArF lithography,” Jpn J. Appl. Phys, Vol. 42 No. 6(B) pp. 3885–3889 (2003). (SCI)

    136.  H. L. Chen*, C. C. Lee, Y. F. Chuang, C. I. Hsieh, and F. H. Ko, “Low Alkaline Contamination Bottom Antireflective Coatings for both 193 and 157 nm Lithography Applications,” Microelectronic Engineering, 67–68, pp.312–318 (2003). (SCI)

    137.  Jem-Kun Chen, Fu-Hsiang Ko, H. L. Chen, and Feng-Chih Chang, “Mechanism and Modeling of Ring Pattern Formation for Electron Beam Exposure on Zwitterresist,” Jpn J. Appl. Phys, Vol. 42 No. 6(B), pp. 3838–3841 (2003). (SCI)

    138.  H. L. Chen*, C. H. Chen, F. H. Ko, T. C. Chu, C. T. Pan, and H. C. Lin, “Thermal-Flow Techniques for Sub-35 nm Contact-Hole Fabrication in Electron Beam Lithography,” J. Vac. Sci. Technol. B, Vol. 20, No. 6, pp.2373-2378 (2002). (SCI) (Selected by Virtual Journal of Nanoscale Science & Technology Volume 7, Issue 5, 2003).

    139.  H. L. Chen*, T. C. Chu, C. K. Hsu, F. H. Ko, and T. Y. Huang “ Fabry-Perot Type Anti-Reflective Coatings for Deep Ultraviolet Binary Photomask Applications”, Appl. Opt., Vol. 41, No.19 pp.3961-3965 (2002). (SCI)

    140.  P. H. Chi, F. H. Ko, C. T. Hsu, H. L Chen, C. K. Yang, Y. C. Sun and M. H. Yang, “Direct Impurity Analysis of Semiconductor Photoresist Samples with Laser Ablation ICP-MS”, Journal of Analytical Atomic Spectrometry, Vol.17, pp.358-365 (2002). (SCI)

    141.  H. L. Chen*, W. C. Chao, F. H. Ko, T. C. Chu and T. Y. Huang, “A Novel Bi-layer Bottom Antireflective Coating Structure for High Performance ArF Lithography Applications,” Journal of Microlithography, Microfabrication, & Microsystems, Vol. 1, No. 1, pp.58-62 (2002). (SCI)

    142.  H. L. Chen*, Y. F. Chuang, C. C. Lee, F. H. Ko, C. I. Hsieh, and T. Y. Huang, “Low Alkaline Contamination Bilayer Bottom Antireflective Coatings in F2 Excimer Laser Lithography” Electrochemical and Solid State Letter, 5 (9), G89-G92 (2002). (SCI)

    143.  H. L. Chen*, H. C. Cheng, M. Y. Li, F. H. Ko, T. Y. Huang and T. C. Chu, “Low Dielectric Constant FLARE 2.0 Films for Bottom Antireflective Coating Layers in KrF Lithography”, Solid State Electronics, Vol.46, August, pp.1127-1131 (2002). (SCI)

    144.  H. L. Chen*, H. C. Cheng, F. H. Ko, T. C. Chu, and T. Y. Huang, “Low Dielectric Constant SiLK films as Bottom Antireflective Coating Layers for both KrF and ArF lithography,” Jpn J. Appl. Phys, Vol 41, No.6B, pp.4046-4050 (2002). (SCI)

    145.  H. L. Chen*, M. C. Shih, C. F. Hsieh, B. C. Chen, and F. H. Ko, “Reduction Substrate Alkaline Contamination by Utilizing Multi-Layer Bottom Antireflective Coating Structures in ArF lithography,” Jpn J. Appl. Phys Vol 41, No.6B, pp.4055-4059 (2002). (SCI)

    146.  H. L. Chen*, F. H. Ko, L. S. Li, C. K. Hsu, B. C. Chen, and T. C. Chu, “Thermal-Flow and Chemical Shrink Techniques for Sub-100 nm Contact Hole Fabrication in Electron Beam Lithography,” Jpn J. Appl. Phys, Vol 41, No.6B, pp.4163-4166 (2002). (SCI)

    147.  H. L. Chen*, T. C. Chu, M. Y. Li, F. H. Ko, H. C. Cheng, and T. Y. Huang “Low Dielectric Constant Materials for Bottom Antireflective Coating Layers in ArF Lithography”, J. Vac. Sci. Technol. B, 19(6), Nov/Dec, pp.2381-2384 (2001). (SCI)

    148.  C. H. Lin, H. L. Chen and L. A. Wang, “A study on adhesion and footing issues of HMDSO films as bottom antireflective coating for deep UV lithographies”, Microelectronic Engineering, Vol. 57-8, 555-561 (2001). (SCI)

    149.  F. H. Ko, and H. L. Chen “Study of Microwave Digestion Kinetics and Establishment of Model for Digestion Efficiency Prediction” Journal of Analytical Atomic Spectrometry, 16 (11): 1337-1340 (2001). (SCI)

    150.  L. A. Wang, and H. L. Chen, “A novel bottom antireflective coating working for both KrF and ArF excimer laser lithography,” Microelectronic Engineering Vol.53, pp.141-144 (2000). (SCI)

    151.  C. H. Lin, L. A. Wang, and H. L. Chen, “Optimized bilayer hexamethyldisioxane film as bottom antireflective coating for both KrF and ArF lithographies”, J. Vac. Sci. Technol. B 18(6), Nov/Dec, pp.3323-3327, (2000). (SCI)

    152.  H. L. Chen, and L. A.Wang “Hexamethyldisioxane Film as Bottom Antireflective Coating Layer for ArF Excimer Laser Lithography,” Appl. Opt. Vol 38, No.22, pp.4885-4890 (1999). (SCI)

    153.  J. L. Yeh, H. L. Chen, S. An, S. C. Lee, “Formation of Si nanoclusters in amorphous silicon thin films by excimer laser annealing,” Electronics Letters, v 35, n 23, pp 2058-2059 (1999) (SCI)

    154.  L. A. Wang, and H. L. Chen, “A multi-layer HMDSO film as bottom antireflective coating for ArF lithography”, J. Vac. Sci. Technol. B, 17, Nov/Dec (6), pp.2772-2775 (1999). (SCI)

    155.  C. C. Lee, H. L. Chen, J. C. Hsu and C. L. Tien, "Interference Coatings Based on Synthesis Silicon Nitride", Appl. Opt. pp. 2078-2082 (1999). (SCI)

    156.  L. A. Wang, C. W. Hsu, and H. L. Chen, “Characteristics of Deuterium-Loaded Fiber Bragg Gratings”, Jpn J. Appl. Phys, pp. 6001-6006 (1998). (SCI)

    157.  H. L. Chen, L. A. Wang and C.W. Hsu, “Characteristics of PECVD-Grown SiNx Films Prepared for Deep Ultraviolet Attenuated Phase Shifting Masks,” J. Vac. Sci. Technol. B 16(6), Nov/Dec, pp.3612-3617, (1998). (SCI)

    top







INTERNATIOANL CONFERENCE


  1.  Y. J. Chen, S. W. Chang, H. C. Wang, Dehui Wan, and H. L. Chen*, “Metaparticles-based Daytime Radiative Cooling Techniques for the CPU Operated Outdoors,” Advanced Photonics Congress, JM3D.2, Busan, Korea (2023).
  2. S. W. Chang, Y. C. Tseng , Y. C. Lee, and H. L. Chen*, “Magnetic Dipole Resonance Induced Visible Luminescence from Hundred Nanometers of Silicon Particles,” Micro and Nano Engineering (MNE), C9-4, Rhodes, Greece (2019)
  3. S. W. Chang, T. Y. Lin, Y. C. Lee, Y. W. Lee, and H. L. Chen*, “Enhance the true intrinsic spectral signals of suspended and pristine two-dimensional materials,” 21st International Vacuum Congress (IVC‐21), 2664-A-1902, Malmo, Sweden (2019)
  4. Yi-Chuan Tseng, Tzu-Yao Lin, Yang-Chun Lee, Che-Kuei Ku, Chun-Wei Chen, and Hsuen-Li Chen* (2018, Aug). Magnetic dipole resonance and coupling effects directly enhance the Raman signals of as-grown graphene on copper foil. International Union of Materials Research Societies – International Conference on Electronic Materials 2018, (IUMRS-ICEM), Datian, Korea. (2018)
  5. Keng–Te Lin, Tzu-Yao Lin, Hsuen–Li Chen,* and Yu–Sheng Lai (2017, Jul). Filter-Free, Junctionless Color Image Sensors. 14th International Symposium on Sputtering & Plasma Processes, Kanazawa, Japan. (2017)
  6. K. T. Lin, T. Y. Lin, Y. S. Lai, Y. M. Chi, T. W. Chu, and H. L. Chen*, “Low Power Consumption of Plasmonics based Color–Image Sensors,” AF1305, 20th International Vacuum Congress (IVC-20), Busan. Korea (2016)
  7. Aileen Y. Sun, Sih-Wei Chang, H. L. Chen, DehuiWan, “Extraordinary Electric Field Enhancement Arising from Non-noble Metal Substrate-induced Interfacial Plasmonics with Silver Nanoparticles,” Micro and Nano Engineering (MNE), Vienna, Austria (2016)
  8. Y. C. Tseng, C. C. Yu, S. Y. Chou, S. C. Tseng, Y. T. Yen and H. L. Chen*, “Attomolar sensitivity quasi-three-dimensional paper-based SERS substrates fabricated by single laser shot treatment, 8th International Conference on Advanced Vibrational Spectroscopy (ICAVS 8), Vienna, Austria (2015).
  9. K. T. Lin, H. L. Chen, Y. L. Liu, Y. C. Tseng, and C. H. Lin, J. M. Liu, and Y. S. Lai, “Nanocrystallized CdS for detection of UV light with picowatt sensitivity through single shot KrF laser treatment,” The 22nd International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD), Kyoto, Japan. (2015, July)
  10. K. T. Lin, H. L. Chen*, Y. S. Lai, Y. L. Liu, Y. C. Tseng, and C. H. Lin, Developing picowatt–sensitive UV photoconductors for characterization of UV-sensitive materials. International Union of Materials Research Societies-International Conference on Electronic Materials (IUMRS-ICEM) (2014).
  11. K. T. Lin, H. L. Chen*, Y. S. Lai, Y. L. Liu, and Y. C. Tseng, Using raman spectroscopy to analyze the shallow-region crystallization of single-shot laser treated CdS photoconductors. 24th International Conference on Raman Spectroscopy (ICORS), Jena, German (2014).
  12. Y. L. Liu, K. T. Lin, C. Y. Fang, C. C. Yu, T. C. Yang, Y. S. Lai, and H. L. Chen*, Controllable localized surface plasmonic resonance phenomena in reduced gold oxide films. 24th International Conference on Raman Spectroscopy (ICORS), Jena, German (2014).
  13. S. C. Tseng, C. C. Yu, D. C. Lin, Y. C. Tseng, H. L. Chen, Y. C. Chen, S. Y. Chou, L. A. Wang, M. T. Tang, and G. C. Yin, Laser-induced jets of nanoparticles: exploiting air drag forces to select the particle size of nanoparticle arrays. Micro and Nano Engineering (MNE), Lausanne, Switzerland (2014).
  14. E. Y. Wang, T. C. Yang, Y. L. Liu, C. C. Yu, K. T. Lin, H. L. Chen*, Using intruded nanoclusters to prepare highly dense nanostructures for the enhancement of omnidirectional light harvest on graphene/silicon photodetectors. The 6th International Conference on “Recent Progress in Graphene Research” (RPGR2014), Taipei, Taiwan (2014).
  15. L. Y. Wang, Dehui Wan, H. L. Chen, T. C. Tseng, C. Y. Fang, Y. S. Lai, and F. Y. Yeh, Enhanced efficiency of silicon solar cells by antireflective nanoparticle arrays. International Microprocesses and Nanotechnology Conference (MNC), Fukuoka, Japan (2014).
  16. S. H. Tsao, Dehui Wan, C. C. Yu, K. T. Lin, and H. L. Chen, Biocompatible namocomposite: ultrahigh broadband absorption and white-light-induced collective heating effects of B. mori Silk/gold nanoparticles thin films. International Microprocesses and Nanotechnology Conference (MNC), Fukuoka, Japan (2014).
  17. Dehui Wan, H. L. Chen, S. Y. Tseng, C. C. Yu, and K. F. Lin, Using Reversal nanoimprinting of plasmonic nanoparticles to prepare flexible waveguide sensors with enhanced SPR scattering. Micro and Nano Engineering (MNE), Lausanne, Switzerland (2014).
  18. Y. C. Lee, T. Y. Lu, Y. T. Yen, C. C. Yu, Y. C. Tsai, Y. C. Tseng and H. L. Chen* (2013, Nov). Using astronomical liquid mirrors to prepare ultra-high-sensitive surface-enhanced Raman scattering substrates. International Microprocesses and Nanotechnology Conference (MNC), Hokkaido.
  19. P. Y. Su, Y. C. Chen, S. C. Tseng, Y. T. Yen, Y. C. Lee, H. L. Chen* and Y. S. Lai (2013, Sep). Using intruded gold nanoclusters with ultrasonic-assisted etching process to construct omnidirectional and broadband antireflective nanostructures in a few seconds. Micro-and-Nano-Engineering (MNE), London.
  20. Y. T. Yen, T. Y. Lu, Y. C. Lee, Y. C. Tsai, P. Y. Su, Y. C. Tseng and H. L. Chen* (2013, Sep). Highly reflective liquid mirror—exploring the effects of localized surface plasmon resonance and arrangement of nanoparticles on metal liquid-like films. Micro-and-Nano-Engineering (MNE), London.
  21. Y. L. Liu, H. L. Chen*, C. C. Yu and C. Y. Fang (2013, Aug). Rapidly characterize structural qualities of large-area graphene by optical anisotropy. IEEE Nano, Beijing.
  22. C. C. Yu, K. T. Lin, Y. C. Tseng, S Y. Chou, H. L. Chen* and W. F. Su (2013, May). Ellipsometric characterization of plasmonic gap modes in metallic nanoparticle-film antennas and its use in surface-enhanced Raman spectroscopy and biosensors. International Conference on Spectroscopic Ellipsometry (ICSE), Kyoto.
  23. Y. L. Liu, C. C. Yu, C. Y. Fang, T. C. Yang, H. L. Chen*, C. K. Chang, L. C. Chen and K. H. Chen (2013, May). Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films. International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), Tennessee.
  24. S. Y. Chou, S. C. Tseng, C. C. Yu and H. L. Chen* “Eco-friendly plasmonic sensors: Using the photothermal effect to prepare metal nanoparticle–containing test papers for highly sensitive colorimetric detection”, Micro-and-Nano-Engineering (MNE), (2012).
  25. C-C Yu, H. L. Chen*, K-H Ho, S-Y Chuang, S-C Tseng, and W-F Su, “Using the nanoimprint-in-metal method to prepare corrugated metal structures for plasmonic biosensors through both surface plasmon resonance and index-matching effects. IEEE Sensors (2012).
  26. Y. L. Liu, H. L. Chen*, C C. Yu, C. C. Kuo, C. K. Chang, C. W. Chen, L. C. Chen, K. H. Chen, Y. S. Lai, “Study of optical anisotropic property of chemical vapor deposition prepared graphene films,” International Conference on Superlattice, Nanostructures, and Nano Devices (ICSNN) No. 334 (2012)
  27. S. C. Tseng, H. L. Chen*, C. C. Yu, and Y. S. Lai, “Using intruded gold nanoclusters as highly active catalysts to fabricate silicon nano-stalactite structures exhibiting excellent field emission property,” Micro-and-Nano-Engineering (MNE), (2011)
  28. S. C. Tseng, H. L. Chen*, C. C Yu, Y. S. Lai, “Using intruded gold nanoclusters as highly active catalysts to fabricate silicon nano-stalactite structures,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), P13.16 (2011)
  29. C. C Yu, H. L. Chen*, K. H. Ho, S. Y. Chuang, S. C. Tseng, “Use of nanoimprint lithography to prepare metallic corrugated structure exhibiting ultrasensitive refractive index sensing,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), P8.3 (2011)
  30. S. L. Chen, C. C. Yu, H. L. Chen*, K. H. Ho, “Simulation of optical and electrical behaviors on regular arrays of carbon nanotubes based transparent conductive electrodes, No. 784, Annual World Conference on Carbon (Carbon 2011).
  31. Yu-Sheng Lai, Hsuen-Li Chen, C. H. Lin, Han-Ching Lin, Szu-Huang Chen, H. M. Chang, S. C. Tseng, Y. M. Chi, Chia Hua Ho, and Fu-Liang Yang, “Efficiency Enhancement and Polarization Detection Capability of Photodiode by Accumulating Local Electric Field on the Metal Electrodes,” International Electron Devices Meeting (IEDM) , Section 14, (2010)
  32. C. C. Yu, Y. T. Chen, D. H. Wan, and H. L. Chen*, “Using dual-side nanoimprint lithography to develop flexible phase retardation system with broadband antireflective property,” Micro-and-Nano-Engineering (MNE), P-LITH-113 (2010)
  33. S. C. Tseng, H. L. Chen*, Y. P. Chen, L. A. Wang, C. C. Yu and D. H. Wan, “The strategy of a permanent optical storage system exhibiting extremely high optical contrast over broadband range,” Micro-and-Nano-Engineering (MNE), P-MEMS-68 (2010)
  34. Dehui Wan, S. C. Tseng, and H. L. Chen*,“Using KrF Laser to Induce Photomodification of Hollow Metal Nanoparticles for Optical Data Storage Optoelectronics and Communications Conference (OECC), Sapporo, Japan (2010).
  35. S. C. Tseng, H. L. Chen*, H. W. Liu, G. S. Lai, Y. P. Chen, L. A. Wang, and C. C. Yu, “Zero-Dimensional Gold Nanospheres on One-Dimensional Silicon Nanostructures for Broadband Reading and Ultra-High Contrast Optical Storage Application,” Micro-and-Nano-Engineering (MNE), P-NANO-93 (2009)
  36. W. H. Lee, S. Y. Chuang, H. L. Chen*, W. F. Su, C. H. Lin, “Characterization optical properties of P3HT:PCBM blend based solar cells with semitransparent anode,” International Thin Films Conference (TACT), C195-P (2009)
  37. S. Y. Chuang, H. L. Chen*, W. H. Lee, S. S. Kuo, G. S. Lai, J. Shieh, “Extraordinary transmittance in three-dimensional metal structure prepared through reversal imprinting of metal films,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), P1-l33 (2009)
  38. D. H. Wan , H. L. Chen*, H. W. Liu, S. Y. Chuang, C. H. Lin, “Characterization optical property of hollow gold nanoparticles by spectroscopic ellipsometry,” Micro-and-Nano-Engineering (MNE), BIO1-P19 (2008)
  39. K. C. Hsieh, H. L. Chen*, T. H. Chen, T. Y. Tsai, S. C. Chang, N. H. Tai, “Fabrication and characterization of two-dimensional carbon nanotubes nanostructures on flexible substrates,” Micro-and-Nano-Engineering (MNE), FAB-P29 (2008)
  40. W. H. Lee, H. L. Chen*, S. Y. Chuang, Y. H. Lin, C. H. Lin, W. F. Su, “Using colloidal lithography to fabricate semitransparent metal anodes in organic solar cells,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 2A-4 (2008)
  41. S. Y. Chuang, H. L. Chen*, W. H. Lee, S. S. Kuo, T. H. Chen, and S. H. Chen, “Using reversal imprint lithography to pattern textured metal fims for surface plasmonic device applications,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 4B-5 (2008)
  42. C. H. Lin, H. L. Chen, F. H. Ko and W. H. Chang, “3D mask topographic effects in EUV lithography,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN) P5B-01, (2008)
  43. K. C. Hsieh, H. L. Chen*, and D. H. Wan, “Tunable surface plasmon resonance wavelength of gold nanoparticles embedded in lead zirconate titanate (PZT) films with an applying external electric field,” Micro-and-Nano-Engineering (MNE), 1A-2 (2007)
  44. H. L. Chen*, C. Y. Lee, Y. P. Chen, L. A. Wang, G. S. Lai, P. K. Yang, “Directly Patterning and Annealing Processes for the Formation of Patterned Nickel Silicide Structures by utilizing KrF Excimer Laser and Phase Mask,” Micro-and-Nano-Engineering (MNE), PPAT-9 (2007).
  45. H. L. Chen*, Wonder Fan, C. H. Lin, S. Y. Chuang, “Reduction of Polarization and Swing Effects in High Numerical Aperture Exposure Systems by utilizing Resist Antireflective Coatings,” Micro-and-Nano-Engineering (MNE), PRES-15 (2007).
  46. S. Y. Chuang, H. L. Chen*, S. S. Kuo, and C. H. Lin, “Fabrication of three dimensional metal films with extraordinary transmission by reversal imprint lithography,” Micro-and-Nano-Engineering (MNE), PNIL-29 (2007).
  47. H. L. Chen*, Y. H. Lin, S. Y. Chuang, D. H. Wan, and C. H. Lin, “Fabrication of gold-nanoparticle-infiltrated inverse opal structures with both photonic bandgap and surface plasmon resonance characteristics,” International Microprocesses and Nanotechnology Conference (MNC), (2007).
  48. H. L. Chen*, S. S. Kuo, S. Y. Chuang, C. H. Lin, C. Y. Wang, “Directly patterning metal films by nanoimprint lithography for surface plasmonic device applications,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 10B.5 (2007).
  49. C. H. Lin, H. L. Chen, and F. H. Ko, “Influence of 3D mask topographic profile in hyper NA lithography,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PH.8 (2007).
  50. K. C. Hsieh, H. L. Chen*, and D. H. Wan, “Preparation of gold nanoparticles embedded in lead zirconate titanate films,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PF.5 (2007).
  51. H. L. Chen*, C. Y. Li, C. C. Cheng, G. S. Lai, C. H. Lin, and C. Y. Lee, “Low-dielectric constant organosilicate glass incorporated with fluorine films as bottom antireflective coatings for sub-65 nm interconnection processes,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PE.3 (2007).
  52. H. L. Chen*, Y. L. Hsu, C. C. Cheng, Wonder Fan, C. H. Lin, K. T. Huang, “ Combining the Auto-cloning and Optical Thin-Films Techniques for the Optimization of Antireflective Microstructures in Solar Cells,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PM.5 (2006).
  53. H. L. Chen*, K. C. Hsieh, Y. C. Lin, C. H. Lin, T. H. Hsu, “ Continuously tuning the photonic bandgap of three-dimensional opal and inverse-opal structures by utilizing polymer and silica hybrid colloids,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 2B.6, (2006).
  54. K. C. Hsieh, H. L. Chen*, S. Y. Chuang, C. H. Lin, “Directly patterning ferroelectric films by nanoimprint lithography with low-temperature and low-pressure,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PM.9 (2006).
  55. C.-H. Lin, H. L. Chen, F. H. Ko, “Simulation of the Mask Magnification Factor Effects for the sub-45nm Node in 193nm Immersion Lithography, International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PA 6, (2006).
  56. H. L. Chen*, K. T. Huang, C. H. Lin, and Wonder Fan, “Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithography,” Micro-and-Nano-Engineering (MNE), P-PHO12 (2006)
  57. K. C. Hsieh, H. L. Chen*, C. H. Lin, and T. H. Hsu, “Fabrication of three-dimensional inverse-opal structures with tunable photonic bandgaps by utilizing polymer and silica hybrid colloids,” Micro-and-Nano-Engineering (MNE), P-NSC15 (2006)
  58. C. H. Lin, H. L. Chen, and F. H. Ko, “Influence of mask magnification factor on the diffracted light in extreme ultraviolet lithography,” 32ed International Conference on Micro- and Nano-Engineering (MNE), P-PHO03, Barcelona, Spain, 17-20 Sep. 2006.
  59. C. H. Lin, H .L. Chen, and W. H. Chang, “Light trapping analysis of the textured surface structures on silicon solar cells,” 2nd International Workshop on Physics and Technology of Thin Films, Praha, Czech Republic, 26-30 Jun. 2006.
  60. S. Y. Chuang, H. L. Chen*, C. H. Lin, Y. H. Lin, S. S. Kuo, T. C. Cheng, “Fabrication of Sub-Wavelength Pyramidal Antireflection Structures in Solar Cells by Using Colloidal Lithography,” International Conference on Nanoscience and Technology, Nanostructuring Section (ICN+T) (2006)
  61. T. C. Cheng, J. S. Wu, H. L. Chen, P. Y. Chen, W. J. Huang, H. T. Hsieh, M. N. Chang, “Experiment and Simulation Technique of Field-Emission Properties of Single Crystallized Silicon Nanotip,” International Conference on Nanoscience and Technology (ICN+T) (2006)
  62. H. L. Chen*, Wonder Fan, K. T. Huang, C. H. Lin, K. C. Hsieh, “ Optimization of sub-wavelength texturing structures in solar cells by utilizing modified illumination and defocus techniques in optical lithography,” International Microprocesses and Nanotechnology Conference (MNC), (2005).
  63. S. Y. Chuang, H. L. Chen*, H. C. Cheng, C. Y. Wang, T. C. Chu, “Monitoring Self-assembly Processes by Characterizing Optical Properties of Gold Nanoparticles,” International Microprocesses and Nanotechnology Conference (MNC), (2005).
  64. Y. C. Lin, H. L. Chen*, T. H. Hsu, C. H. Lin, K. C. Hsieh, H. C. Cheng, “ Tuning photonic bandgap of three dimensional opal structures by utilizing polymer and silica hybrid colloids,” International Microprocesses and Nanotechnology Conference (MNC), (2005).
  65. S. Y. Chuang, H. L. Chen*, H. C. Cheng, C. Y. Wang, C. H. Lin, T. C. Chu, “ Directly imprinted metal films with varied profiles for optical device applications,” Micro-and-Nano-Engineering (MNE), (2005)
  66. C. H. Lin, H. L. Chen, C. I. Hsieh , W. C. Chao, W. H. Chang, “ Optical Characterization of Two-dimensional Photonic Crystals Based on Spectroscopic Ellipsometry with Rigorous Coupled-Wave Analysis,” Micro-and-Nano-Engineering (MNE), (2005)
  67. C. H. Chao, H. L. Chen*, W. C. Chao, S. H. Chen, C. H. Lin, and C.C. Lee, “Using sub-wavelength metal hole-arrays with surface plasmon polaritons and index matching effects to enhance the transmission on high refractive index substrates,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), P16.2, (2005)
  68. H. L. Chen*, Wonder Fan, C. M. Hsu, S. J. Cheng, C. H. Lin, and K. C. Hsieh, “Optimization of Texturing Microstructures in Solar Cells by Tuning the Defocus of Exposure Systems,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), P26.5, (2005)
  69. H. L. Chen*, H. C. Cheng, T. S. Ko, F. H. Ko, and T. C. Chu, “High reflectance of reflective type attenuated-phase-shifting-masks for extreme ultraviolet lithography with high inspection-contrast in deep ultraviolet regimes,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 3C2, (2004)
  70. H. L. Chen*, H. C. Cheng, T. S. Ko and T. C. Chu, “Characterizing Optical Properties of Self-assembled Gold Nanoparticles for Optimization of Surface Plasmon Resonance Device Applications,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PM4 (2004)
  71. H. L. Chen*, Wonder Fan, C. L. Wu and L. K. Chang Liau, “Characterization and Analysis Optical Properties of Thin Films for 126- or 121-nm Optical Lithography Applications,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PC10 (2004)
  72. H. F. Lee, H. L. Chen*, W. C. Chao, C. I. Hsieh, and T. C. Chu, “Fabrication of Autocloned Photonic Crystals by Using High-density-plasma Chemical Vapor Deposition Method,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PL5 (2004)
  73. W. C. Chao, H. L. Chen*, S. H. Chen, C. I. Hsieh, and C.C. Lee, “Using sub-wavelength metal gratings with inverse polarization effect as polarizers in exposure systems of optical lithography,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 10A5, (2004)
  74. C.-C. Chen, F.-H. Ko, S.-J. Yan, H. L. Chen and T.-C. Chu, “Application of Coulomb Blockade Effect forDNA Sensing,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), PN1, (2004)
  75. C.-J. Ko, F.-H. Ko, M.-F.Chen, H. L. Chen, and T.-C. Chu, “Polymer Deformation by Microwave-Assisted Molding Technique, International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 5B3, (2004)
  76. F.-H. Ko, C.-J. Ko, C.-Y. Lee, H. L. Chen and T.-C. Chu, “Fabrication of Silver Nanowires by Nanotubes Template Technique,” International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), 8A5, (2004)
  77. H. L. Chen*, Wonder Fan, C. L. Wu, K. S. Chang-Liao, “Contrast Improvement by Top-Antireflective Coatings for High Numerical Aperture 157nm-Lithography,” International Microprocesses and Nanotechnology Conference (MNC), (2004).
  78. H. L. Chen*, C. P. Chang, C. C. Cheng, C. I. Hsieh, W. H. Hsu, W. S. Wang, P. T. Liu, “Porous Materials with Ultra-Low Optical Constants for Integrated Optical Devices Applications,” International Microprocesses and Nanotechnology Conference (MNC), (2004).
  79. W. C. Chao, H. L. Chen*, S. H. Chen, C. I. Hsieh, F. H. Ko, and C. C. Lee, “Polarization Effects of Surface plasmon polariton phenomenon of sub-wavelength metal holes arrays,” International Microprocesses and Nanotechnology Conference (MNC), (2004).
  80. F. H. Ko, H. L. Chen, C. J. Ko, Li-Yu Weng, Mei-fen Chen, “Monitor the resist temperature during hot embossing lithography by fluorescence probe technique,” International Microprocesses and Nanotechnology Conference (MNC), (2004).
  81. H. C. Cheng, S. Y. Chuang, H. L. Chen*, Y. L. Hung, and F. H. Ko, “Direct Imprint in Metal Film Stacks with Low Pressure and Low Temperature for optical elements applications,” International Microprocesses and Nanotechnology Conference (MNC), (2004).
  82. C. W. Hsu, H. L. Chen, W. C. Chao, W.S. Wang, “Single-mode polymer optical waveguides fabricated by electron beam direct writing,” Proc. Integrated Photonics Research Topical Meeting (IPR 2004) (2004)
  83. K. C. Cheng, Y. Xu, P. Sheu, H. L. Chen, C. I. Hsieh, “Properties of F-doped silica glass via sol-gel technique for VUV lithography,” Photomask Japan (2004).
  84. M. H. Mao, D. M. Yeh, P. W. Liu, H. H. Lin, H. L. Chen, C. T. Pan, “Characterization of three-dimensional GaAs/AlxOy near infrared photonic crystals fabricated by using an auto-cloning technique”, IEEE LEOS conference proceedings, p.1024, Tucson, Oct. (2003) (EI)
  85. H. L. Chen*, C. W. Tu, T. J. Wang, P. T. Liu, F. H. Ko, and T. C. Chung, “Porous Materials with Ultra-Low Dielectric Constant as Antireflective Coating Layers for F2 and ArF Lithography,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  86. C. I. Kuo, H. L. Chen*, Y. H. Chu, F. K. Liu, F. H. Ko, and T. C. Chu, “Patterning of Self-Assembled Nanoparticles by Electron-Beam Lithography with Chemically Amplified Resists,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  87. H. C. Cheng, H. L. Chen*, T. S. Ko, L. J. Lai, F. H. Ko, and T. C. Chu, “Enhance Extreme Ultraviolet Lithography Mask Inspection Contrast by using Fabry-Perot type Antireflective Coatings,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  88. Wonder Fan, H. L. Chen*, C. L. Wu, and L. K. S. Chang, “Characterized Optical Constants of Thin Films for Vacuum Ultraviolet Lithography Applications,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  89. C.-Y. Lee, C.-J. Ko, F.-H. Ko, H.-L. Chen and T.-C. Chu, “Solution-based Silver Nanowires Filling into Carbon Nanotubes by Microwave Heating Technology,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  90. C. J. Ko, C. Y. Lee, F.-H. Ko, H. L. Chen and T. C. Chu, “High Efficiency Purification Method for Multi-Walled Carbon Nanotubes,” International Microprocesses and Nanotechnology Conference (MNC), (2003).
  91. H. L. Chen*, C. I. Kuo, F. K. Liu, F. H. Ko, T. C. Chu, and W. C. Chao, “Preparing and Patterning of Self-Assembled Gold Nanoparticles on Silicon Oxide Films,” Micro-and-Nano-Engineering (MNE), NE-P 05 (2003).
  92. C. I. Hsieh, H. L. Chen*, W. C. Chao, and F. H. Ko, “Characterized Optical Properties of 2-dimensions Photonic Bandgap Crystal by Utilizing Spectral Ellipsometry,” Micro-and-Nano-Engineering (MNE), IM 04 (2003).
  93. C. J. Ko, C.Y. Lee, F.H. Ko, H. L. Chen, T.C. Chu, “High efficiency microwave purification method for multi-walled carbon nanotubes” Micro-and-Nano-Engineering (MNE), NE-P 18 (2003).
  94. Fu-Hsiang Ko, Hsin-Chiang You, Chun-Chen Hsu, Hsuen-Li Chen, Tieh-Chi Chu and Tan-Fu Lei, “Sub-60nm Contact Hole Fabrication in Silicon Dioxide Layer,” Micro-and-Nano-Engineering (MNE), (2003)
  95. H. L. Chen*, Wonder Fan, T. J. Wang, F. H. Ko, R. S. Zhai, C. K. Hsu, and T. J. Chuang, “Optical-Gradient Type of Antireflective Coatings for Sub-70 nm Optical Lithography Applications,” Pacific Rim Conference on Lasers and Electro-Optics (CLEO/PR) (2003), F1H.
  96. H. L. Chen*, C. C. Lee, Y. F. Chuang, M.C. Liu, C. I. Hsieh, and F. H. Ko, “Fabry-Perot Type Antireflective Coatings for Binary Mask Applications in ArF and F2 Excimer Laser Lithographies,” Pacific Rim Conference on Lasers and Electro-Optics (CLEO/PR) (2003), F2H.
  97. Tzyy-Jiann Wang, Yen-Hao Huang, and Hsuen-Li Chen, “Wavelength trimming of integrated-optic microring filters by plasma treatment”, The 5th Pacific Rim Conference on Laser and Electro-Optics (CLEO/Pacific Rim 2003), December 15-19, (2003), TU3I-3, p.44.
  98. S.-C. Wu, C.-F. Chen, W.-C. Chiao, H.-L Chen, M.-H. Cho and G.-W. Huang, “Fast evaluating the novel optical properties of Split Ring Resonator by FTIR,” International Meeting on Applied Physics (APHYS), (2003).
  99. C. I. Hsieh, H. L. Chen*, W. C. Chao, F. H. Ko, and S. C. Wu, “Fabrication and characterization of 2-dimensional Photonic Bandgap Crystal,” The World Scientific and Engineering Academy and Society (WSEAS), (2003).
  100. H. L. Chen*, H. S. Wu, C. C. Lee, F. H. Ko, Wonder Fan, and C. I. Hsieh, “Fabry-Perot Structures for Attenuated Phase-Shifting-Mask applications in ArF and F2 Lithography,” 47th EIPBN, PN4 (2003).
  101. F. H. Ko, J. K. Chen, H. K. Chen, C. T. Chou and H. L. Chen, “Three-dimensional Fabrication and Surface Characterization of Novel Non-planar Devices,” 47th EIPBN (2003).
  102. H. L. Chen*, C. I. Hsieh, Y. F. Chuang, C. C. Lee, and F. H. Ko, “Low Alkaline Contamination Bottom Antireflective Coatings for both 193 nm and 157 nm Lithography Applications,” Micro-and-Nano-Engineering (MNE), RES-P2 (2002)
  103. Fu-Ken Liu, Yu-Cheng Chang, H. L. Chen, Fu-Hsiang Ko, Chung-I Hsieh, Tieh-Chi Chu, and Bau-Tong Dai, “Rapid Fabrication of High Quality Self-assembled Nanometer GoldParticles by Spin Coating Method,” Micro-and-Nano-Engineering (MNE), NEF-P3 (2002).
  104. H. L. Chen*, W. C. Chao, H. C. Cheng, F. H. Ko, and T. C. Chu, “Low Dielectric Constant Benzocyclobutene Films for Bottom Antireflective Coatings in F2 Excimer Laser Lithography,” IEDMS 2002 (2002).
  105. H. L. Chen*, C. I. Kuo, Y. H. Chu, F. K. Liu, F. H. Ko, T. C. Chu, and C. J. Ker, “Preparation of High Uniformity Gold Nanoparticles on Silicon Oxide Films,” IEDMS 2002 (2002).
  106. H. L. Chen*, H. S. Wu, C. C. Lee, M.C. Liu, and F. H. Ko, “Fabry-Perot Type Phase-Shifting-Mask for ArF and F2 Lithography Applications,” IEDMS 2002 (2002).
  107. H. L. Chen*, Wonder Fan, T. J. Wang, F. H. Ko, and C. I. Hsieh, “Optical-Gradient Type of Antireflective Coatings for Sub-100 nm Lithography Applications,” IEDMS 2002 (2002).
  108. F-K Liu; S-Y Hsieh, C-J Ker, F-H Ko, H-L Chen, T-C Chu, B-T Dai, Synthesis of gold/PMMA Hybridizing Nano-ball and Nano-network, 2002 International Electron Devices and Materials Symposia, (2002).
  109. F-K Liu, Y-C Chang, H-L Chen, F-H Ko, T-C Chu, B-T Dai, Rapid Fabrication and High Quality Self-assembled Nanoparticles by Spin Coating, 2002 International Electron Devices and Materials Symposia (2002).
  110. H. L. Chen*, Wonder Fan, T. J. Wang, F. H. Ko, and C. I. Hsieh, “Multilayer Bottom Antireflective Coatings for High Numerical Aperture and Modified Illumination Exposure Systems,” International Microprocesses and Nanotechnology Conference (MNC), p.62-63, (2002).
  111. H. L. Chen, W. C. Chao, F. H. Ko, T. C. Chu, and H. C. Cheng, “Diluted Low Dielectric Constant Materials as Bottom Antireflective Coating Layers for both KrF and ArF lithography,” International Microprocesses and Nanotechnology Conference (MNC), p.250-251, (2002).
  112. H. L. Chen*, C. H. Chen, F. H. Ko, T. C. Chu, C. T. Pan, H. C. Lin, “Thermal-Flow Techniques for Sub-35 nm Contact-Hole Fabrication in Electron Beam Lithography,” 46th EIPBN, PK15 (2002).
  113. Jem-Kun Chen, Fu-Hsiang Ko, Feng-Chih Chang and Hsuen-Li Chen, “Mechanism and Modeling of Ring Pattern Formation for Electron Beam Exposure on Zwitterresist,” International Microprocesses and Nanotechnology Conference (MNC), p.110-111 (2002).
  114. Fu-Ken Liu, Shang-Yu Hsieh, Chu-Jung Ker, H. L. Chen, Fu-Hsiang Ko, Tieh-Chi Chu, Bau-Tong Dai, “Nanometer-size PMMA Polymer Network Synthesis by Gold Nanoparticles Template,” International Microprocesses and Nanotechnology Conference (MNC), p.134-135 (2002).
  115. Fu-Ken Liu, Chu-Jung Ker, Fu-Hsiang Ko, H. L. Chen, Bau-Tong Dai, “Highly Effective Chemical Route for the Preparation of Uniform Nanometer Gold Particles by Microwave Irradiation,” International Microprocesses and Nanotechnology Conference (MNC), p.136-137 (2002).
  116. F. J. Hou, H. C. Lin, H. L. Chen, J. T. Liu, C. T. Pan, F. H. Ko, M. F. Wang, T. Y. Huang, “Pattering of nano scale Si lines using e-beam lithography and high selectivity plasma etching, International Microprocesses and Nanotechnology Conference (MNC), p.120-121 (2002).
  117. F. H. Ko, J. K. Chen, C. T. Pan, and H. L. Chen, Simultaneous Patterning on ArF Zwitterresist with Variably Shaped Electron Beam Lithography,” 46th EIPBN, PK 9 (2002).
  118. Horng-Chih Lin, Meng-Fan Wang, Fu-Ju Hou, Jan-Tsai Liu, Fu-Hsiang Ko, Hsuen-Li Chen, Guo-Wei Huang, Tiao-Yuan Huang, and Simon M. Sze, “Nano-Scale Implantless Schottky-Barrier SOI FinFETs with Excellent Ambipolar Performance,” 60th Device Research Conference, (2002).
  119. H. L. Chen*, W. D. Fan, T. J. Wang, F. H. Ko, and T. Y. Huang, “Multi-layer Bottom Antireflective Coating Structures for High NA ArF Exposure System Applications”, Proceedings of SPIE, v 4690, p 1085-1092 (2002). (EI)
  120. F. H. Ko, H. L. Chen, C. C. Hsu and T. C. Chu, “Metal Characterization and Process Enhancement Techniques for Photolithographic Materials” Proceedings of SPIE, v 4689, 2002, p 696-705 (EI)
  121. H. L. Chen*, H. C. Cheng, M. Y. Li, F. H. Ko, T. Y. Huang and T. C. Chu, “Low Dielectric Constant FLARE 2.0 Films as Bottom Antireflective Coating Layers for ArF Lithography”, Proceedings of SPIE, v, 4346, pp 1041-1049 (2001). (EI)
  122. H. L. Chen*, C. K. Hsu, B. C. Chen, F. H. Ko, J. Y. Yang, and T. C. Chu, “Studies of Chemically Amplified Deep UV Resists for Electron Beam Lithography Applications”, Proceedings of SPIE, v 4343, p 781-788 (2001). (EI)
  123. F. H. Ko, H. L. Chen, “Reliable microwave digestion and metal determination methods for bottom ARC”, Proceedings of SPIE, vol, 4344, p 562-571 (2001). (EI)
  124. H. L. Chen*, C. K. Hsu, B. C. Chen, F. H. Ko, T. Y. Huang and T. C. Chu, “A Fabry-Perot Type Anti-Reflective Coating for Deep Ultraviolet Binary Photomask Application”, Proceedings of SPIE, v 4409, p 372-381 (2001). (EI)
  125. H. L. Chen*, H. C. Cheng, M. Y. Li, F. H. Ko, T. Y. Huang and T. C. Chu, “Low Dielectric Constant Materials for Bottom Antireflective Coating Layers in ArF Lithography”, 45th EIPBN, Washington, D.C., 4-4 (2001).
  126. Chih Wei Hsu, Hsuen Li Chen, and Way Seen Wang, “Multimode Interference Couplers Using Polymeric Optical Waveguides,” Proceedings of SPIE, vol. 4579, pp. 84-91, (2001). (EI)
  127. Chih Wei Hsu, Way Seen Wang, and Hsuen Li Chen, “Optical Waveguide Circuits Fabricated from G-Line Photoresist,” 8th Microoptics Conference (MOC ’01), Osaka, Japan, October 24-26, pp. 150-153 (2001).
  128. Chih Wei Hsu, Way Seen Wang, Hsuen Li Chen, Tzyy Jiann Wang, and Yan Hao Huang, “Study of Cladding Layer for Photonic Integrated Circuits,” Proceedings of SPIE, vol. 4603, pp. 218-223, (2001). (EI)
  129. H. L. Chen*, F. H. Ko, T. C. Chu, H. C. Cheng, and T. Y. Huang, “Low Dielectric Constant SILK films as Bottom Antireflective Coating Layers for both KrF and ArF lithography,” International Microprocesses and Nanotechnology Conference (MNC), Japan, pp.132-133 (2001).
  130. H. L. Chen*, M. C. Shih, C. F. Hsieh, B. C. Chen, and F. H. Ko, “Reduction Substrate Alkaline Contamination by Utilizing Multi-Layer Bottom Antireflective Coating Structures in ArF lithography,” International Microprocesses and Nanotechnology Conference (MNC), Japan, pp.230-231 (2001).
  131. H. L. Chen*, F. H. Ko , L. S. Li, C. K. Hsu, B. C. Chen, T. C. Chu, and T. Y. Huang, “Thermal Flow and Chemical Shrink Techniques for Sub-100 nm Contact Hole Fabrication in Electron Beam Lithography,” International Microprocesses and Nanotechnology Conference (MNC) Japan, pp.228-229 (2001).
  132. B. C. Chen, Y. K. Lai, F. H. Ko, C. T Chou and H. L. Chen, “Direct Patterning on Low Dielectric Constant Materials with Electron Beam Lithography,” International Microprocesses and Nanotechnology Conference, Japan, (MNC) pp.168-169 (2001).
  133. C. H. Lin, H. L. Chen, L. A. Wang “A Study on Adhesion and Footing Issues of HMDSO Films as Bottom Antireflective Coating for Deep UV Lithographies” Micro-and-Nano-Engineering 2000, Jena, Germany, R-9P (2000)
  134. H. L. Chen*, H. C. Cheng, M. Y. Li, F. H. Ko, T. Y. Huang and T. C. Chu, “Low dielectric constant FLARE films for bottom antireflective coating layers in KrF lithography,” IEDMS 2000 pp.304 (2000).
  135. H. L. Chen and L. A.Wang, “Simulation on a New Reflection Type Attenuated Phase Shifting Mask for Extreme Ultraviolet Lithography,” Proc. SPIE 3676, pp.578-586 (1999). (EI)
  136. H. L. Chen, L. A. Wang, L. S. Yeh, and F. D. Lai, “Silicon-Oxynitride Films Prepared for 157 nm Attenuated Phase Shifting Masks,” Proc. SPIE 3679 pp.1085-1092 (1999). (EI)
  137. L. A. Wang and H. L. Chen “Optimization of ECR-PECVD Grown HMDSO Films for The Bottom Antireflective Coating Layer in ArF Lithography, 43th EIPBN DEDP7 (1999).
  138. L. A. Wang, and H. L. Chen, “A Novel Bottom Antireflective Coating Working for Both KrF And ArF Lithography,” Micro-and-Nano-Engineering 99, Rome, Italy, POL5, (1999).
  139. L. A. Wang, H. L. Chen, C.W. Hsu and L.S. Yeh, “Optical characteristics and irradiation stability of PECVD-grown SiNx films prepared for DUV attenuated phase shifting masks”, 42th EIPBN, Chicago, paper MKP7, (1998).
  140. L.A.Wang, H. L. Chen and C.H. Lin, “Fabrication of Phase Shifting Mask Patterns by Utilizing Top Surface Imaging Technique,” Fourth International Symposium on 193nm Lithography,” Tyrol, Austria, pp. M-005/A- M-005/B, (1998).
  141. C. C. Lee, H. L. Chen, J. C. Hsu, and C. C. Lin, "Inference Coatings Based on IAD Silicon Nitride" Optical Inference coating, 7th Topical meeting, June 7-12, MA13, (1998), Tucson, AZ, USA.
  142. L.A.Wang, H. L. Chen and C.W. Hsu, "Phase Mask Fabrication Using DUV Photolithography," Proceedings of International Electron Devices and Materials Symposia, pp.136-138, (1998).
  143. H. L. Chen and L. A.Wang, "Etching Characteristics of SiNx Films for DUV Lithography Applications," Proceedings of International Electron Devices and Materials Symposia, pp.262-264, (1998).
  144. C. W. Hsu, L. A. Wang and H. L. Chen, “Characteristics of Fiber Bragg Gratings with Deuterium-Loaded”, Proceedings of SPIE v 3420, p 194-202 (1998). (EI)




PATENTS

  1. H. L. Chen, S. Y. Chou, C. C. Yu, Y. T. Yen, “SURFACE-ENHANCED RAMAN SCATTERING SUBSTRATE AND MANUFACTURING METHOD THEREOF” United State Patent, (2017) 通過接受。
  2. H. L. Chen, C. Y. Fang, Y. C. Lee, Y. L. Liu, D. H. Wan, C. C. Yu, “OPTICAL DEVICE AND METHOD FOR MANUFACTURING THE SAME,” United State Patent No. US 8,928,024 B2 (2015).
  3. H. L. Chen, Y. S. Lai, W. Y. Wang, "Color Photodetector Apparatus with Multi-Primary Pixels" United State Patent No. US 7,723,763 B2 (2010).
  4. 陳學禮、方程毅、李仰淳、劉宇倫、萬德輝、游振傑, “光學裝置及其製作方法”, 中華民國發明專利證書號 I 481084 (2015)
  5. 陳學禮、游振傑、何冠宏、莊尚餘、曾紹欽、林唯芳, “表面電漿共振生化感測器及其形成方法,” 中華民國發明專利證書號 I 472745 (2015)
  6. 陳學禮、曾紹欽、游振傑、劉浩偉, “半導體奈米結構及其製作方法,” 中華民國發明專利證書號發明第 I 464783號 (2014)
  7. 陳學禮、莊尚餘、郭珊珊、賴郁暉、李正中、林唯芳, 用於光電元件之金屬薄膜及其製造方法” 中華民國專利證書號 I 434327 (2014)
  8. 陳學禮、賴冠生、王文昀, “全彩多像素光偵測器裝置,” 中華民國專利證書號 I 335084 (2010)。
  9. 林詠彬、張國鎮、黃震興、李路生、李宗銘、陳學禮, “剪力增稠流體阻泥器”, 中華民國專利證書號 I 317789 (2009)。
  10. 陳學禮、林詠彬、張國鎮, “彩色太陽能電池” 中國專利證書號CN100568538C (2009)
  11. 范萬達、陳學禮、徐啟明、鄭劭家、趙健皓、陳俊淇, “利用光學微影技術製作抗反射結構之方法,” 中華民國專利證書號 I294555 (2008)。
  12. 陳學禮、朱育宏、郭建億、劉福鯤、柯富祥、朱鐵吉, “奈米粒子圖形的形成方法, 中華民國專利證書號I281098 (2007)。
  13. 柯富祥、雷添福、游信強、陳學禮,黃調元 “含芙阻劑、製備方法與微影製程, 中華民國專利證書號 I273351 (2007)。
  14. 柯富祥、雷添福、游信強、陳學禮,黃調元 “含芙阻劑、製備方法與微影製程, 中華民國專利證書號 I273351 (2007)。
  15. 林詠彬、張國鎮、陳學禮, “聲波偵測結構及裝置”中華民國專利證書號 I249024 (2006)
  16. 謝健、柯宗憲、陳學禮、謝嘉民、戴寶通、朱鐵吉, “以金奈米粒子輔助成長半導體量子點的製作方法”中華民國專利證書號 I253480,(2006)。
  17. 吳世全、陳學禮、劉福鯤、陳志隆, “奈米粒子及奈米孔穴組裝之彩色濾光片之製法,” 中華民國專利公告號 I247165 (2006)。
  18. 陳學禮、吳鴻森、李正中、柯富祥、鄭旭君,“一種低反射之相位及穿透率可調之光罩結構”, 中華民國專利證書號 I227369 (2005)。
  19. 王子建﹑涂振維﹑劉福鯤﹑陳學禮, “雙極化檢測之表面電漿共振生化感測器,” 中華民國專利證書號 I245893,(2005)。
  20. 謝健、柯宗憲、陳學禮、謝嘉民、戴寶通、朱鐵吉, “奈米多孔性半導體薄膜的製作方法” 中華民國專利證書號 I232892 (2005)。
  21. 陳學禮、范萬達、王子建、陳本昌、謝忠益、柯富祥, “光學漸變層及其製作方法”, 中華民國專利證書號 007826,(2004)。
  22. 柯富祥、朱鐵吉、李龍昇、陳學禮、徐俊成、黃調元, “0.1微米以下微影製程的化學微縮技術” 中華民國專利證書號 013807 (2004)。
  23. 柯富祥、陳建光、陳學禮、潘敬德, “一種兩性阻劑製程”,中華民國專利證書號005862,(2004)。
  24. 陳建光、柯富祥、陳宣克、陳學禮,三維光阻結構的製作方法,中華民國專利證書號017953,(2004)。
  25. 柯富祥、賴義凱、陳本昌、林鴻志、劉柏村、戴寶通、陳學禮,黃調元 “低介電係數層奈米圖案直接定義技術” 中華民國專利證書號 I220541 (2004)。
  26. 葛祖榮、劉福鯤、柯富祥、陳學禮、吳世全、戴寶通, “一種製備IB族金屬奈米粒子的方法” ,中華民國專利證書號 I250130,(2004)。
  27. 王倫, 陳學禮 “一種應用於極紫外光微影術中反射式衰減相位偏移光罩之創新” 中華民國專利公告號 523123 (2003)。
  28. 陳學禮、許兼貴、陳本昌、柯富祥、朱鐵吉、陳志豪、黃調元, “半導體元件之電子束微影法” 中華民國專利公告號 525226 (2003)。
  29. 王倫, 陳學禮 “可作為下抗反光塗佈層之含矽有機材料以及使用此有機材料作為下抗反光塗佈層之製程” 中華民國專利公告號 521174 (2003)。
  30. 劉福鯤、張育誠、陳學禮、柯富祥、朱鐵吉、戴寶通, “一種沉積奈米粒子的方法” , 中華民國專利公告號 527696 (2003)。
  31. 陳學禮、莊怡芬、李正中、謝忠益、柯富祥、黃調元, “半導體元件之底部抗反射層” 中華民國專利證書號 178646,(2003)。
  32. 陳學禮、許兼貴、陳本昌、柯富祥、黃調元、朱鐵吉, “光罩抗反射層結構” 中華民國專利150177號 (2002)。
  33. 陳學禮、謝境峰、施明昌、陳本昌、柯富祥、黃調元, “多層底層抗反射層的製造方法” 中華民國專利公告號 509979,(2002)。
  34. 陳學禮、趙文祺、朱鐵吉、柯富祥、黃調元, “ArF及F2微影術的雙層底抗反射層之結構及製造方法”, 中華民國專利公告號 505982,(2002)。
  35. 戴昌明,王倫,陳學禮,”作為193奈米相轉移光罩基材之氮化矽層”,中華民國專利111805號(2000)。
  36. C. M. Dai, L. A. Wang, and H. L. Chen, “Formation of silicon nitride film for a phase shift mask at 193 nm,” United State Patent No. 6,045,954 (2000).

國內期刊


  1. 林耕德, 陳學禮*, 賴宇紳, 曾紹欽, “準分子雷射退火技術開發低成本、低耗能及高光電響應之硫化鎘光電元件,” 科儀新知,201期12–21頁,12月(2014)。
  2. 萬德輝、陳學禮*、曾紹欽, “利用深紫外光雷射結合奈米中空粒子開發高密度光學資料儲存系統科儀新知,31卷第五期(2010)。
  3. 謝健, 侯福居, 陳諺辰, 陳紘珉, 楊舜博, 鄭劭家, 陳學禮, “近乎完美且穩定的超疏水結構,” 科儀新知,175期73–79頁,4月(2010)。
  4. 莊尚餘、陳學禮*、郭珊珊, “利用奈米壓印機台研究結構化金屬膜的異常穿透現象,” 科儀新知,30卷第三期,(2008)。
  5. 陳學禮*,鄭旭君,洪鶯玲,朱鐵吉, “用於次25奈米極紫外光曝光機之新穎反射型衰減式相位移光罩,” 科儀新知,27卷第二期,(2005)。
  6. 莊尚餘、陳學禮*、鄭旭君、王鉦元、朱鐵吉、林俊宏, “金奈米粒子之特殊光學特性與應用之研究,” 奈米通訊,第12卷第3期 (2005)。
  7. 陳學禮* “用於次70奈米世代之底部抗反射層”, 知識創新 No.46, April (2004)。
  8. 陳學禮*、范萬達 “光學漸變層之製作與光學微影應用”, 知識創新 No.54, Dec. (2004)。
  9. 陳學禮*、鄭旭君,“Fabry-Perot型式抗反射層鍍膜在深紫外光微影二元式光罩上之應用” ,科儀新知24卷第5期 (2003)。
  10. 陳學禮*、謝忠益,“奈米世代微影技術介紹”, 新電子科技雜誌「奈米科技創造新世界」專題 2月號(2003)。
  11. 葛祖榮、劉福鯤、柯富祥、陳學禮, “研究以高效率微波加熱法製備金奈米粒子, 奈米通訊,第十卷第一期 (2003)。
  12. 陳學禮*、鄭旭君, “微影製程及化學品,” 電子月刊, 五月號 (2003)。
  13. 陳學禮*, “熱流技術用於次70奈米之電子束微影”, 知識創新 No.37, July (2003)。
  14. 陳學禮*、謝忠益、鄭旭君,“奈米世代光罩技術介紹” 光訊 (2003)。
  15. 范萬達、陳學禮*、王子建、謝忠益, “多層底部抗反射層應用於高數值孔徑與修正型照明之曝光系統,奈米通訊,第十卷第三期 (2003)。
  16. 謝健、柯宗憲、陳學禮、謝嘉民、戴寶通, “以金奈米粒子輔助高密度感應耦合電漿化學氣相沈積高密度量子點於氧化矽基板之研究” ,奈米通訊,第十卷第三期 (2003)。
  17. 陳建光、柯富祥、陳學禮、潘敬德, “兩性電子束敏感阻劑研究” 奈米通訊,第八卷第四期,半導體科技之技術專文,第九卷第二期 (2002)。
  18. 陳學禮*、陳志豪、鄭旭君、朱鐵吉、潘敬德,“熱流技術用於電子束微影中製作次35奈米接觸洞之研究”, 奈米通訊,第九卷第四期(2002)
  19. 陳學禮*、朱鐵吉、鄭旭君、柯富祥 “以低介電常數材料作為深紫外光微影之抗反射層研究”, 科儀新知,(2001)。
  20. 陳學禮*,施明昌,謝境峰, “利用多層底部抗反射層解決ArF微影術之光阻受鹼性污染問題,” 毫微米通訊,第八卷第四期,半導體科技之技術專文 (2001)。
  21. K. C. Chang, J. C. Chen, Y. B. Lin, L. A. Wang and H. L. Chen, “A Study of Fiber Bragg Grating Sensor in Civil Structure”, 土木水利工程學刊, Vol. 10, pp.467 (1997).
  22. 陳學禮* “下一世代微影技術介紹”,電子資訊,第六卷第二期(2000)。
  23. 陳學禮* “利用六甲基矽氧烷作為ArF準分子雷射微影之底抗反射層研究”,毫微米通訊,第七卷第四期 (2000),半導體科技之技術專文。

Copyright(c) 2008 Nano-optpelectronics Lab., Department of Material Science and Engineering, National Taiwan University
No. 1, Sec. 4, Roosevelt Road, Taipei, 10617 Taiwan(R.O.C)
Phone:+886-2-3366-3240 Fax:+886-2-2362-7651